0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

【ZYNQ Ultrascale+ MPSOC FPGA教程】第二十五章PS端以太網(wǎng)使用之lwip

FPGA技術(shù)專欄 ? 來(lái)源:芯驛電子科技 ? 作者:芯驛電子科技 ? 2021-01-28 10:01 ? 次閱讀

原創(chuàng)聲明:

本原創(chuàng)教程由芯驛電子科技(上海)有限公司(ALINX)創(chuàng)作,版權(quán)歸本公司所有,如需轉(zhuǎn)載,需授權(quán)并注明出處。

適用于板卡型號(hào):

AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E/AXU4EV-P/AXU5EV-E/AXU5EV-P /AXU9EG/AXU15EG

vivado工程目錄為“ps_hello/vivado”

vitis工程目錄為“ps_net/vitis”

軟件工程師工作內(nèi)容

以下為軟件工程師負(fù)責(zé)內(nèi)容。

開(kāi)發(fā)板有兩路千兆以太網(wǎng),通過(guò)RGMII接口連接,本實(shí)驗(yàn)演示如何使用Vitis自帶的LWIP模板進(jìn)行PS端千兆以太網(wǎng)TCP通信

LWIP雖然是輕量級(jí)協(xié)議棧,但如果從來(lái)沒(méi)有使用過(guò),使用起來(lái)會(huì)有一定的困難,建議先熟悉LWIP的相關(guān)知識(shí)。

1. Vitis程序開(kāi)發(fā)

1.1 LWIP庫(kù)修改

由于自帶的LWIP庫(kù)只能識(shí)別部分phy芯片,如果開(kāi)發(fā)板所用的phy芯片不在默認(rèn)支持范圍內(nèi),要修改庫(kù)文件。也可以直接使用修改過(guò)的庫(kù)替換原有的庫(kù)。

1) 找到庫(kù)文件目錄“X:\xxx\Vitis\2020.1\data\embeddedsw\ThirdParty\sw_services”

pIYBAGAKMfOAU-Q8AACBDpL7-JA233.jpg

2)找到要修改的文件目錄“l(fā)wip211_v1_2\src\contrib\ports\xilinx\netif”中文件“xaxiemacif_physpeed.c”和“xemacpsif_physpeed.c”要修改。

o4YBAGAKMfSAddqAAABpnrM5KTw961.jpg

3)修改PL端的“xaxiemacif_physpeed.c”文件,添加相關(guān)宏定義

pIYBAGAKMfSASeYRAAAtm9-hs70006.jpg

4)添加phy速度獲取函數(shù)

unsignedintget_phy_speed_ksz9031(XAxiEthernet*xaxiemacp,u32phy_addr){
	u16control;
	u16status;
	u16partner_capabilities;
	xil_printf("StartPHYautonegotiation\r\n");

	XAxiEthernet_PhyWrite(xaxiemacp,phy_addr,IEEE_PAGE_ADDRESS_REGISTER,2);
	XAxiEthernet_PhyRead(xaxiemacp,phy_addr,IEEE_CONTROL_REG_MAC,&control);
	//control|=IEEE_RGMII_TXRX_CLOCK_DELAYED_MASK;	control&=~(0x10);
	XAxiEthernet_PhyWrite(xaxiemacp,phy_addr,IEEE_CONTROL_REG_MAC,control);

	XAxiEthernet_PhyWrite(xaxiemacp,phy_addr,IEEE_PAGE_ADDRESS_REGISTER,0);

	XAxiEthernet_PhyRead(xaxiemacp,phy_addr,IEEE_AUTONEGO_ADVERTISE_REG,&control);
	control|=IEEE_ASYMMETRIC_PAUSE_MASK;
	control|=IEEE_PAUSE_MASK;
	control|=ADVERTISE_100;
	control|=ADVERTISE_10;
	XAxiEthernet_PhyWrite(xaxiemacp,phy_addr,IEEE_AUTONEGO_ADVERTISE_REG,control);

	XAxiEthernet_PhyRead(xaxiemacp,phy_addr,IEEE_1000_ADVERTISE_REG_OFFSET,
																	&control);
	control|=ADVERTISE_1000;
	XAxiEthernet_PhyWrite(xaxiemacp,phy_addr,IEEE_1000_ADVERTISE_REG_OFFSET,
																	control);

	XAxiEthernet_PhyWrite(xaxiemacp,phy_addr,IEEE_PAGE_ADDRESS_REGISTER,0);
	XAxiEthernet_PhyRead(xaxiemacp,phy_addr,IEEE_COPPER_SPECIFIC_CONTROL_REG,
																&control);
	control|=(7<<12);	/*?max?number?of?gigabit?attempts?*/
	control?|=(1<<11);	/*?enable?downshift?*/
	XAxiEthernet_PhyWrite(xaxiemacp,?phy_addr,?IEEE_COPPER_SPECIFIC_CONTROL_REG,
																control);
	XAxiEthernet_PhyRead(xaxiemacp,?phy_addr,?IEEE_CONTROL_REG_OFFSET,&control);
	control?|=?IEEE_CTRL_AUTONEGOTIATE_ENABLE;
	control?|=?IEEE_STAT_AUTONEGOTIATE_RESTART;

	XAxiEthernet_PhyWrite(xaxiemacp,?phy_addr,?IEEE_CONTROL_REG_OFFSET,?control);

	XAxiEthernet_PhyRead(xaxiemacp,?phy_addr,?IEEE_CONTROL_REG_OFFSET,&control);
	control?|=?IEEE_CTRL_RESET_MASK;
	XAxiEthernet_PhyWrite(xaxiemacp,?phy_addr,?IEEE_CONTROL_REG_OFFSET,?control);

	while(1){
		XAxiEthernet_PhyRead(xaxiemacp,?phy_addr,?IEEE_CONTROL_REG_OFFSET,&control);
		if(control?&?IEEE_CTRL_RESET_MASK)
			continue;
		else
			break;
	}
	xil_printf("Waiting?for?PHY?to?complete?autonegotiation.\r\n");

	XAxiEthernet_PhyRead(xaxiemacp,?phy_addr,?IEEE_STATUS_REG_OFFSET,&status);
	while(!(status?&?IEEE_STAT_AUTONEGOTIATE_COMPLETE)){
		sleep(1);
		XAxiEthernet_PhyRead(xaxiemacp,?phy_addr,?IEEE_STATUS_REG_OFFSET,
																&status);
		}

	xil_printf("autonegotiation?complete?\r\n");

	XAxiEthernet_PhyRead(xaxiemacp,?phy_addr,0x1f,&partner_capabilities);

	if((partner_capabilities?&0x40)==0x40)/*?1000Mbps?*/
		return1000;
	elseif((partner_capabilities?&0x20)==0x20)/*?100Mbps?*/
		return100;
	elseif((partner_capabilities?&0x10)==0x10)/*?10Mbps?*/
		return10;
	else
		return0;}

5) 修改函數(shù)“get_IEEE_phy_speed”,添加對(duì)KSZ9031的支持。

unsignedget_IEEE_phy_speed(XAxiEthernet*xaxiemacp){
	u16phy_identifier;
	u16phy_model;
	u8phytype;#ifdefXPAR_AXIETHERNET_0_BASEADDR
	u32phy_addr=detect_phy(xaxiemacp);

	/*GetthePHYIdentifierandModelnumber*/
	XAxiEthernet_PhyRead(xaxiemacp,phy_addr,PHY_IDENTIFIER_1_REG,&phy_identifier);
	XAxiEthernet_PhyRead(xaxiemacp,phy_addr,PHY_IDENTIFIER_2_REG,&phy_model);/*DependinguponwhatmanufacturerPHYisconnected,adifferentmaskis*neededtodeterminethespecificmodelnumberofthePHY.*/
	if(phy_identifier==MARVEL_PHY_IDENTIFIER){
		phy_model=phy_model&MARVEL_PHY_MODEL_NUM_MASK;

		if(phy_model==MARVEL_PHY_88E1116R_MODEL){
			returnget_phy_speed_88E1116R(xaxiemacp,phy_addr);
		}elseif(phy_model==MARVEL_PHY_88E1111_MODEL){
			returnget_phy_speed_88E1111(xaxiemacp,phy_addr);
		}
	}elseif(phy_identifier==TI_PHY_IDENTIFIER){
		phy_model=phy_model&TI_PHY_DP83867_MODEL;
		phytype=XAxiEthernet_GetPhysicalInterface(xaxiemacp);

		if(phy_model==TI_PHY_DP83867_MODEL&&phytype==XAE_PHY_TYPE_SGMII){
			returnget_phy_speed_TI_DP83867_SGMII(xaxiemacp,phy_addr);
		}

		if(phy_model==TI_PHY_DP83867_MODEL){
			returnget_phy_speed_TI_DP83867(xaxiemacp,phy_addr);
		}
	}
	elseif(phy_identifier==MICREL_PHY_IDENTIFIER)
	{
		xil_printf("Phy%disKSZ9031\n\r",phy_addr);
		get_phy_speed_ksz9031(xaxiemacp,phy_addr);
	}
	else{
	LWIP_DEBUGF(NETIF_DEBUG,("XAxiEthernetget_IEEE_phy_speed:DetectedPHYwithunknownidentifier/model.\r\n"));
	}#endif#ifdefPCM_PMA_CORE_PRESENT
	returnget_phy_negotiated_speed(xaxiemacp,phy_addr);#endif}

6) 修改PS端“xemacpsif_physpeed.c”文件添加宏定義

o4YBAGAKMfWAL1qCAAFDXT1SXp0069.jpg

7) 添加phy速度獲取函數(shù)

staticu32_tget_phy_speed_ksz9031(XEmacPs*xemacpsp,u32_tphy_addr){
	u16_ttemp;
	u16_tcontrol;
	u16_tstatus;
	u16_tstatus_speed;
	u32_ttimeout_counter=0;
	u32_ttemp_speed;
	u32_tphyregtemp;

	xil_printf("StartPHYautonegotiation\r\n");

	XEmacPs_PhyWrite(xemacpsp,phy_addr,IEEE_PAGE_ADDRESS_REGISTER,2);
	XEmacPs_PhyRead(xemacpsp,phy_addr,IEEE_CONTROL_REG_MAC,&control);
	control|=IEEE_RGMII_TXRX_CLOCK_DELAYED_MASK;
	XEmacPs_PhyWrite(xemacpsp,phy_addr,IEEE_CONTROL_REG_MAC,control);

	XEmacPs_PhyWrite(xemacpsp,phy_addr,IEEE_PAGE_ADDRESS_REGISTER,0);

	XEmacPs_PhyRead(xemacpsp,phy_addr,IEEE_AUTONEGO_ADVERTISE_REG,&control);
	control|=IEEE_ASYMMETRIC_PAUSE_MASK;
	control|=IEEE_PAUSE_MASK;
	control|=ADVERTISE_100;
	control|=ADVERTISE_10;
	XEmacPs_PhyWrite(xemacpsp,phy_addr,IEEE_AUTONEGO_ADVERTISE_REG,control);

	XEmacPs_PhyRead(xemacpsp,phy_addr,IEEE_1000_ADVERTISE_REG_OFFSET,
					&control);
	control|=ADVERTISE_1000;
	XEmacPs_PhyWrite(xemacpsp,phy_addr,IEEE_1000_ADVERTISE_REG_OFFSET,
					control);

	XEmacPs_PhyWrite(xemacpsp,phy_addr,IEEE_PAGE_ADDRESS_REGISTER,0);
	XEmacPs_PhyRead(xemacpsp,phy_addr,IEEE_COPPER_SPECIFIC_CONTROL_REG,
																&control);
	control|=(7<<12);	/*?max?number?of?gigabit?attempts?*/
	control?|=(1<<11);	/*?enable?downshift?*/
	XEmacPs_PhyWrite(xemacpsp,?phy_addr,?IEEE_COPPER_SPECIFIC_CONTROL_REG,
																control);
	XEmacPs_PhyRead(xemacpsp,?phy_addr,?IEEE_CONTROL_REG_OFFSET,&control);
	control?|=?IEEE_CTRL_AUTONEGOTIATE_ENABLE;
	control?|=?IEEE_STAT_AUTONEGOTIATE_RESTART;
	XEmacPs_PhyWrite(xemacpsp,?phy_addr,?IEEE_CONTROL_REG_OFFSET,?control);

	XEmacPs_PhyRead(xemacpsp,?phy_addr,?IEEE_CONTROL_REG_OFFSET,&control);
	control?|=?IEEE_CTRL_RESET_MASK;
	XEmacPs_PhyWrite(xemacpsp,?phy_addr,?IEEE_CONTROL_REG_OFFSET,?control);

	while(1){
		XEmacPs_PhyRead(xemacpsp,?phy_addr,?IEEE_CONTROL_REG_OFFSET,&control);
		if(control?&?IEEE_CTRL_RESET_MASK)
			continue;
		else
			break;
	}

	XEmacPs_PhyRead(xemacpsp,?phy_addr,?IEEE_STATUS_REG_OFFSET,&status);

	xil_printf("Waiting?for?PHY?to?complete?autonegotiation.\r\n");

	while(!(status?&?IEEE_STAT_AUTONEGOTIATE_COMPLETE)){
		sleep(1);
		XEmacPs_PhyRead(xemacpsp,?phy_addr,
						IEEE_COPPER_SPECIFIC_STATUS_REG_2,&temp);
		timeout_counter++;

		if(timeout_counter?==30){
			xil_printf("Auto?negotiation?error?\r\n");
			return;
		}
		XEmacPs_PhyRead(xemacpsp,?phy_addr,?IEEE_STATUS_REG_OFFSET,&status);
	}
	xil_printf("autonegotiation?complete?\r\n");

	XEmacPs_PhyRead(xemacpsp,?phy_addr,0x1f,
					&status_speed);

	if((status_speed?&0x40)==0x40)/*?1000Mbps?*/
		return1000;
	elseif((status_speed?&0x20)==0x20)/*?100Mbps?*/
		return100;
	elseif((status_speed?&0x10)==0x10)/*?10Mbps?*/
		return10;
	else
		return0;
	return?XST_SUCCESS;}

8)修改函數(shù)“get_IEEE_phy_speed”,添加對(duì)KSZ9031的支持

staticu32_tget_IEEE_phy_speed(XEmacPs*xemacpsp,u32_tphy_addr){
	u16_tphy_identity;
	u32_tRetStatus;

	XEmacPs_PhyRead(xemacpsp,phy_addr,PHY_IDENTIFIER_1_REG,
					&phy_identity);
	if(phy_identity==MICREL_PHY_IDENTIFIER){
		RetStatus=get_phy_speed_ksz9031(xemacpsp,phy_addr);
	}elseif(phy_identity==PHY_TI_IDENTIFIER){
		RetStatus=get_TI_phy_speed(xemacpsp,phy_addr);
	}elseif(phy_identity==PHY_REALTEK_IDENTIFIER){
		RetStatus=get_Realtek_phy_speed(xemacpsp,phy_addr);
	}else{
		RetStatus=get_Marvell_phy_speed(xemacpsp,phy_addr);
	}

	returnRetStatus;}

1.2 創(chuàng)建APP工程時(shí)基于LWIP模板

pIYBAGAKMfWAOM7gAACB6jCWI8U605.jpg

2.下載調(diào)試

測(cè)試環(huán)境要求有一臺(tái)支持dhcp的路由器,開(kāi)發(fā)板連接路由器可以自動(dòng)獲取IP地址,實(shí)驗(yàn)主機(jī)和開(kāi)發(fā)板在一個(gè)網(wǎng)絡(luò),可以相互通信。

2.1 以太網(wǎng)測(cè)試

1) 連接串口打開(kāi)串口調(diào)試終端,連接好PS端以太網(wǎng)網(wǎng)線到路由器,運(yùn)行Vitis下載程序

o4YBAGAKMfaAOkikAAC-VTzkxIQ600.jpg

2)可以看到串口打印出一些信息,可以看到自動(dòng)獲取到地址為“192.168.1.63”,連接速度1000Mbps,tcp端口為7

pIYBAGAKMfeAC7CzAAAii8BYH1c749.jpg

3) 使用telnet連接

o4YBAGAKMfeAPb9gAABmDuxiihY816.jpg

4) 當(dāng)輸入一個(gè)字符時(shí),開(kāi)發(fā)板返回相同字符

pIYBAGAKMfiAfnF9AAAUaLJ0BRk562.jpg

3. 實(shí)驗(yàn)總結(jié)

通過(guò)實(shí)驗(yàn)我們更加深刻了解到Vitis程序的開(kāi)發(fā),本實(shí)驗(yàn)只是簡(jiǎn)單的講解如何創(chuàng)建一個(gè)LWIP應(yīng)用,LWIP可以完成UDP、TCP等協(xié)議,在后續(xù)的教程中我們會(huì)提供基于以太網(wǎng)的具體應(yīng)用,例如ADC采集數(shù)據(jù)通過(guò)以太網(wǎng)發(fā)送,攝像頭數(shù)據(jù)通過(guò)以太網(wǎng)發(fā)送上位機(jī)顯示。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598871
  • 以太網(wǎng)
    +關(guān)注

    關(guān)注

    40

    文章

    5287

    瀏覽量

    169633
  • LwIP
    +關(guān)注

    關(guān)注

    2

    文章

    84

    瀏覽量

    26931
  • Zynq
    +關(guān)注

    關(guān)注

    9

    文章

    604

    瀏覽量

    47003
  • MPSoC
    +關(guān)注

    關(guān)注

    0

    文章

    194

    瀏覽量

    24190
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器

      如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器  Zynq UltraScale+
    發(fā)表于 01-07 16:02

    如何調(diào)試Zynq UltraScale+ MPSoC VCU DDR控制器

    如何調(diào)試 Zynq UltraScale+ MPSoC VCU DDR 控制器?
    發(fā)表于 01-22 06:29

    ZYNQ Ultrascale+ MPSOC FPGA教程

    ZYNQ Ultrascale+ MPSOC FPGA教程
    發(fā)表于 02-02 07:53

    閑話Zynq UltraScale+ MPSoC(連載1)

    Zynq-7000,這款SoC功能顯得更加強(qiáng)勁:最顯著的變化是新加入了GPU和視頻編解碼器,PS的高速接口更加豐富。按照Xilinx官方的說(shuō)法,Zynq
    發(fā)表于 02-08 08:24 ?688次閱讀
    閑話<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>(連載1)

    閑話Zynq UltraScale+ MPSoC(連載5)

    作者:Hello,Panda Part3 I/O資源 和Zynq-7000相比較,Zynq UltraScale+ 增強(qiáng)了PS的IO性能;
    發(fā)表于 02-08 08:29 ?642次閱讀
    閑話<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>(連載5)

    Zynq UltraScale+ MPSoC的發(fā)售消息

    Zynq?UltraScale+?MPSoC,現(xiàn)已開(kāi)始發(fā)售。視頻向您重點(diǎn)介紹了Xilinx UltraScale +產(chǎn)品組合的第一位成員
    的頭像 發(fā)表于 11-27 06:47 ?3487次閱讀

    米爾科技Zynq UltraScale+ MPSoC技術(shù)參考手冊(cè)介紹

    Zynq UltraScale+ MPSoC是Xilinx推出的第二代多處理SoC系統(tǒng),在第一代Zynq-7000的基礎(chǔ)上做了全面升級(jí),在單
    的頭像 發(fā)表于 11-18 11:03 ?2996次閱讀
    米爾科技<b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>技術(shù)參考手冊(cè)介紹

    如何調(diào)試 Zynq UltraScale+ MPSoC VCU DDR 控制器?

    Zynq UltraScale+ MPSoC VCU DDR 控制器是一款專用 DDR 控制器,只支持在 Zynq UltraScale+
    發(fā)表于 02-23 06:00 ?15次下載
    如何調(diào)試 <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b> VCU DDR 控制器?

    【正點(diǎn)原子FPGA連載】第二十五章HDMI方塊移動(dòng)實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)之FPGA開(kāi)發(fā)指南_V2.1

    【正點(diǎn)原子FPGA連載】第二十五章HDMI方塊移動(dòng)實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)之FPGA開(kāi)發(fā)指南_V2.1
    發(fā)表于 11-24 14:36 ?13次下載
    【正點(diǎn)原子<b class='flag-5'>FPGA</b>連載】<b class='flag-5'>第二十五章</b>HDMI方塊移動(dòng)實(shí)驗(yàn) -摘自【正點(diǎn)原子】新起點(diǎn)之<b class='flag-5'>FPGA</b>開(kāi)發(fā)指南_V2.1

    米爾電子zynq ultrascale+ mpsoc底板外設(shè)資源清單分享

    米爾電子推出的國(guó)內(nèi)首款zynq ultrascale+ mpsoc平臺(tái)核心板(及開(kāi)發(fā)板):MYC-CZU3EG吸引了人工智能、工業(yè)控制、嵌入式視覺(jué)、ADAS、算法加速、云計(jì)算、有線/無(wú)線通信等
    發(fā)表于 01-07 15:20 ?3次下載
    米爾電子<b class='flag-5'>zynq</b> <b class='flag-5'>ultrascale+</b> <b class='flag-5'>mpsoc</b>底板外設(shè)資源清單分享

    Zynq UltraScale+ MPSoC以太網(wǎng)接口調(diào)試

    Zynq&ZU+ Mpsoc以太網(wǎng)使用普遍,從功能大致分為2類應(yīng)用:調(diào)試管理、數(shù)據(jù)傳輸。本文主要集中在PS的Ethernet RGMII
    的頭像 發(fā)表于 08-02 08:03 ?2456次閱讀
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b><b class='flag-5'>以太網(wǎng)</b>接口調(diào)試

    ZYNQ Ultrascale+ MPSoC系列FPGA芯片設(shè)計(jì)

    基于 Xilinx 公司ZYNQ Ultrascale+ MPSoC系列 FPGA 芯片設(shè)計(jì),應(yīng)用于工廠自動(dòng)化、機(jī)器視覺(jué)、工業(yè)質(zhì)檢等工業(yè)領(lǐng)域
    發(fā)表于 11-02 14:35 ?1456次閱讀

    Zynq UltraScale+ MPSoC中的隔離方法

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC中的隔離方法.pdf》資料免費(fèi)下載
    發(fā)表于 09-13 17:11 ?1次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>中的隔離方法

    Zynq UltraScale+ MPSoC的隔離設(shè)計(jì)示例

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC的隔離設(shè)計(jì)示例.pdf》資料免費(fèi)下載
    發(fā)表于 09-13 11:28 ?3次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>的隔離設(shè)計(jì)示例

    Zynq UltraScale+ MPSoC驗(yàn)證數(shù)據(jù)手冊(cè)

    電子發(fā)燒友網(wǎng)站提供《Zynq UltraScale+ MPSoC驗(yàn)證數(shù)據(jù)手冊(cè).pdf》資料免費(fèi)下載
    發(fā)表于 09-15 10:13 ?0次下載
    <b class='flag-5'>Zynq</b> <b class='flag-5'>UltraScale+</b> <b class='flag-5'>MPSoC</b>驗(yàn)證數(shù)據(jù)手冊(cè)