0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

三星和英特爾開始發(fā)力,全球芯片代工龍頭臺積電或面臨壓力

電子工程師 ? 來源:數(shù)據(jù)寶 ? 作者:數(shù)據(jù)寶 ? 2021-02-20 16:56 ? 次閱讀

三星英特爾開始發(fā)力,全球芯片代工龍頭臺積電或面臨壓力。

與臺積電競爭,傳三星計劃在美建廠

周五美股收盤,道指跌0.57%,納指漲0.09%,標普500指數(shù)跌0.3%。芯片股領跌,費城半導體指數(shù)跌1.84%,其中成分股英特爾跌9.29%,臺積電跌3.73%,為去年10月底以來最大單日跌幅,美光科技跌3.21%。

據(jù)多家媒體報道,三星電子正在考慮在美國德克薩斯州投資超100億美元建設一座最先進的芯片制造廠,與臺積電在先進制程上進行競爭,以爭奪更多美國客戶的訂單。此前,臺積電披露將在美國亞利桑那州建造一家5nm芯片工廠,預計將于2024年完工。

雖然只是計劃階段,不過一切順利的話,將于2023年開始生產(chǎn)。目前三星在韓國國內已有兩座EUV光刻機工廠。

據(jù)市場研究機構TrendForce的數(shù)據(jù),2020年9月,三星在全球晶圓代工市場的份額為16.4%,臺積電的市場份額為54%。三星近期設立的“半導體愿景2030”長期計劃,目標是在未來10年里成為全球第一大芯片制造商。不過,三星電子目前與全球芯片代工龍頭臺積電在全球市場占有率上還有較大的差距,在技術上也有稍微劣勢。

三星希望通過大規(guī)模的投資趕上臺積電。三星電子2021年的投資有望超過300億美元,高于臺積電2021年250-280億美元的資本支出。臺積電的主要資本支出也將用于先進制程技術上,其中80%將用于3nm、5nm及7nm等先進工藝,10%用于先進封裝技術量產(chǎn)需求,10%用于特殊工藝。三星電子預計2022年將大規(guī)模采用比FinFET更為先進的GAAFET 3nm,保持與臺積電在先進制程上的技術競爭。

高通、英偉達已經(jīng)成為三星電子的客戶。1月22日,據(jù)韓國經(jīng)濟日報報道,三星電子獲得美國最大的微處理器制造商英特爾的第一筆訂單。與臺積電的合作上,英特爾則委托臺積電生產(chǎn)GPU和部分芯片,臺積電計劃使用4nm工藝制造英特爾的GPU,預計在2023年,臺積電將使用最新3nm工藝為英特爾代工新一代CPU。

臺積電和三星電子今年首次獲取英特爾的芯片訂單,未來能否獲取更多的英特爾訂單,對這2家公司的競爭格局將有極大影響。

英特爾7nm 研發(fā)取得重要進展

英特爾此前的芯片由自己負責生成,現(xiàn)在將芯片業(yè)務轉包給三星電子和臺積電實屬無奈。英特爾最先進的芯片制程工藝還是10nm+++級別。英特爾高管在2020年的財報會議上承認,英特爾7nm技術研發(fā)生產(chǎn)不順利,將延期生產(chǎn),技術落后于臺積電兩年時間。英特爾股價因此低迷不振。

英特爾的競爭對手AMD則借助臺積電的新工藝,AMD的CPU性能似乎已經(jīng)超過英特爾,市場占有率快速提升,股價也一路攀升。為了縮小與競爭對手的技術優(yōu)勢,英特爾不得不考慮將部分芯片外包給晶圓代工商。

美國東部時間 1 月 21 日,英特爾對外公布了 2020 財年第四季度以及 2020 年全年的財報,從數(shù)據(jù)來看,這是一份超越華爾街預期的財報。

英特爾同時宣布,公司7nm應該有望在2023年進行投產(chǎn),而明年很有可能還需要繼續(xù)外包一部分的訂單,公司7nm的順利投產(chǎn)有望縮小與競爭對手的技術差距。同時。公司CEO司睿博將卸任,由VMware CEO帕特·基辛格接任,有市場專業(yè)人士稱,基辛格深諳半導體技術及管理,有望帶領英特爾走出工藝落后困境。

全球芯片代工龍頭或遭圍堵

臺積電目前是全球毫無爭議的芯片代工龍頭,經(jīng)過近年的高速增長,或遭到各方勢力圍堵。

首先,其無疑將面臨三星的圍堵追擊。2020年,三星擊敗臺積電,獲得高通1萬億韓元的高端芯片代工訂單,這是三星首次獲得高通旗艦芯片訂單。TrendForce的數(shù)據(jù)顯示,2021年三星的市場占有率將繼續(xù)得到提升,臺積電的占有率將保持不變。

其次,三年后隨著英特爾公司7nm技術的成熟以及新上任CEO的領導,或將縮小與三星和臺積電的技術優(yōu)勢,減少對代工企業(yè)的依賴。

最后,從先進工藝芯片的下游反饋看,出現(xiàn)性能“翻車”現(xiàn)象。蘋果、華為、高通、三星相繼推出旗艦級5nm移動處理器。外媒9to5Mac報道,部分iPhone 12用戶在使用手機時遇到了高耗電問題,iPhone 12搭載的是5nm的A14芯片,也有不少數(shù)碼評測博主指出驍龍888功耗出現(xiàn)上升。制程越小,算力越強,功耗越低。但是現(xiàn)在一味的追求先進制程,不僅大幅增加了成本,實際效果或許并不理想,消費者未必會買單,甚至有業(yè)內人士表示,5nm工藝制程不成熟,現(xiàn)時不應該大規(guī)模推廣。目前,28nm仍然被廣泛的應用于智能手機、平板電腦等設備上,在成本和性能穩(wěn)定性方面都很穩(wěn)定。芯片制造的頭部公司聯(lián)電甚至為了鞏固28nm的市場份額,幾乎完全放棄了14nm的研發(fā)和投入。

來源:數(shù)據(jù)寶

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關注

    關注

    450

    文章

    49631

    瀏覽量

    417135
  • 高通
    +關注

    關注

    76

    文章

    7334

    瀏覽量

    189580
  • amd
    amd
    +關注

    關注

    25

    文章

    5376

    瀏覽量

    133376
  • 英特爾
    +關注

    關注

    60

    文章

    9747

    瀏覽量

    170643
  • 三星電子
    +關注

    關注

    34

    文章

    15796

    瀏覽量

    180661
  • 臺積電
    +關注

    關注

    43

    文章

    5534

    瀏覽量

    165698
收藏 人收藏

    評論

    相關推薦

    英特爾挖角電工程師,芯片代工戰(zhàn)局升溫

    芯片代工領域,一場激烈的人才爭奪戰(zhàn)正在悄然上演。據(jù)最新報道,英特爾正積極向在亞利桑那州的
    的頭像 發(fā)表于 08-01 18:09 ?833次閱讀

    英特爾3nm芯片訂單,開啟晶圓生產(chǎn)新篇章

    近日,據(jù)業(yè)界知情人士透露,全球知名的半導體制造巨頭已成功獲得英特爾即將推出的筆記本電腦處理器系列的3nm
    的頭像 發(fā)表于 06-20 09:26 ?513次閱讀

    英特爾CEO誓言奪回芯片領導地位

    英特爾CEO帕特·基辛格近日在采訪中堅定表示,公司的首要任務是奪回芯片領域的領導地位。近年來,隨著
    的頭像 發(fā)表于 06-07 09:23 ?525次閱讀

    今日看點丨ASML今年將向三星英特爾交付High-NA EUV;理想 L9 出事故司機質疑 LCC,產(chǎn)品經(jīng)理回應

    1. ASML 今年將向、三星英特爾交付High-NA EUV ? 根據(jù)報道,芯片制造設
    發(fā)表于 06-06 11:09 ?754次閱讀

    英特爾CFO承諾維持與合作,將在18A節(jié)點獲得少量代工訂單

    據(jù)3月15日消息,在摩根士丹利TMT會上,英特爾CFO辛斯納透露,英特爾將繼續(xù)作為的客戶,希望能在18A節(jié)點獲得少量
    的頭像 發(fā)表于 03-15 14:39 ?624次閱讀

    今日看點丨傳三星墻腳 將拿下Meta AI芯片代工訂單;MEGA 上市后理想港股暴跌 20%

    1. 傳三星墻腳 將拿下Meta AI 芯片代工訂單 ?
    發(fā)表于 03-08 11:01 ?770次閱讀

    英特爾將進軍Arm芯片領域并不斷追趕代工市場份額!

    2月27日消息,近日在接受 Tom's Hardware 采訪時,英特爾代工負責人斯圖爾特?潘(Stu Pann)表示將會進軍 Arm 芯片,并不斷追趕
    的頭像 發(fā)表于 02-28 10:07 ?450次閱讀

    營收超越英特爾三星,首次成為全球最大半導體制造商

    報告指出, 2023 年營收達到 693 億美元(當前約 4989.6 億元人民幣),超過了英特爾的 542.3 億美元(當前約 3904.56 億元人民幣)和
    的頭像 發(fā)表于 02-27 10:12 ?546次閱讀

    英特爾重塑代工業(yè)務的五個關鍵要點簡析

    英特爾將為微軟代工芯片,挑戰(zhàn)地位。
    的頭像 發(fā)表于 02-25 16:59 ?698次閱讀
    <b class='flag-5'>英特爾</b>重塑<b class='flag-5'>代工</b>業(yè)務的五個關鍵要點簡析

    英特爾宣布推進1.4納米制程

    英特爾近日宣布了一項重要戰(zhàn)略舉措,計劃未來幾年內開始生產(chǎn)1.4納米級尖端芯片,挑戰(zhàn)全球晶圓代工領軍企業(yè)
    的頭像 發(fā)表于 02-23 11:23 ?378次閱讀

    英特爾委任代工CPU,提升其運營實力

    基辛格在英特爾“IFS Direct Connect 2024”大會上接受采訪時表示,該訂單涉及對臺的3納米訂單中占較大比例的CPU芯片塊,對行業(yè)和市場產(chǎn)生重大影響。此前,盡管市場
    的頭像 發(fā)表于 02-23 09:52 ?893次閱讀

    耗時36年,終成最大的半導體制造商!

    的509.9億美元,首度超越英特爾三星電子,成為全球營收最高的半導體制造商。 奈斯泰德指出,英特爾1992年擊敗日本電氣(NEC Corporation)后,位居此領域
    的頭像 發(fā)表于 02-18 10:57 ?511次閱讀

    英特爾,大戰(zhàn)一觸即發(fā)

    三星可能會跟隨英特爾落后一兩年進入背面供電領域。
    的頭像 發(fā)表于 01-03 16:09 ?759次閱讀
    <b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>電</b>和<b class='flag-5'>英特爾</b>,大戰(zhàn)一觸即發(fā)

    三星力爭取高通3nm訂單,挑戰(zhàn)代工霸權?

    供應鏈消息指出,盡管面臨三星的熱情攻勢,高通依然在認真權衡未來兩年內是否繼續(xù)采用包括三星
    的頭像 發(fā)表于 01-02 10:25 ?549次閱讀

    英特爾20A、18A工藝流片,面臨挑戰(zhàn)

    英特爾的Intel 20A和Intel 18A工藝已經(jīng)開始流片,意味著量產(chǎn)階段已經(jīng)不遠。而2nm工藝和1.8nm工藝的先進程度無疑已經(jīng)超過了三星
    的頭像 發(fā)表于 12-20 17:28 ?1366次閱讀