0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

解析全球光刻機行業(yè)發(fā)展情況

我快閉嘴 ? 來源:芯思想 ? 作者:趙元闖 ? 2021-02-24 16:56 ? 次閱讀

根據芯思想研究院(ChipInsights)的數據表明,2020年全球集成電路、面板、LED光刻機出貨約58臺,較2019年增加3臺。其中集成電路制造用光刻機出貨約410臺;面板、LED用光刻機出貨約170臺。

一、前三大出貨情況

2020年,前三大ASML、Nikon、Canon的集成電路用光刻機出貨達413臺,較2019年的359臺增加54臺,漲幅為15%。

從EUV、ArFi、ArF三個高端機型的出貨來看,2020年共出貨143臺,較2019年的154臺下滑7%。其中ASML出貨121臺,占有85%的市場,較2019年增加1個百分點;Nikon出貨22臺,占有15%的市場,較2019年減少1個百分點。

EUV方面還是ASML獨占鰲頭,市占率100%;ArFi方面ASML市占率高達86%,較2019年減少2個百分占;ArF方面ASML占有67%的市場份額,較2019年增加4個百分點;KrF方面ASML也是占據71%的市場份額,較2019年增加8個百分點;在i線方面ASML也有27%的市場份額。

從總營收來看,2020年前三大ASML、Nikon、Canon的光刻機總營收達988億元人民幣,較2019年小幅增長4.6%。從營收占比來看,ASML占據79%的份額,較2019年增加5個百分點。ASML在2020年各型號光刻機出貨數量增長13%,且高端機臺EUV的出貨量增加了5臺,也使得總體營收增長超過10%。

ASML

2020年ASML光刻機營收約780億元人民幣,較2019年成長10.8%。

2020年ASML共出貨258臺光刻機,較2019年229年增加29臺,增長13%。其中EUV光刻機出貨31臺,較2019年增加5臺;ArFi光刻機出貨68臺,較2019年減少14臺;ArF光刻機出貨22臺,和2018年持平;KrF光刻機出貨103臺,較2019年增加38臺;i-line光刻機出貨34臺,和2019年持平。

2020年ASML的EUV光刻機營收達350億元人民幣,占光刻機整體收入的45%,較2019年增加133億元人民幣。2020年單臺EUV平均售價超過11億元人民幣,較2019年單臺平均售價增長35%。從2011年出售第一臺EUV機臺以來,截止2020年第四季出貨超過100臺,達101臺。且EUV機臺單價越來越高,據悉,2020年第四季接獲得的6臺總額達86億人民幣,單臺價格超過14億人民幣。

2020年來自中國的光刻機收入占比18%,超過140億人民幣,表明中國內地各大FAB至少共搬入30臺以上光刻機。

Nikon

2020年度,Nikon光刻機業(yè)務營收約120億元人民幣,較2019年下滑23%。

2020年度,Nikon集成電路用光刻機出貨33臺,較2019年減少13臺。其中ArFi光刻機出貨11臺,和2019年度持平;ArF光刻機出貨11臺,較2019年度減少2臺;KrF光刻機出貨2臺,較2017年度減少2臺;i-line光刻機出貨9臺,較2019年度減少9臺。

2020年度,Nikon全新機臺出貨26臺,翻新機臺出貨7臺。

2020年,Nikon面板(FPD)用光刻機出貨20臺,較2019年下跌50%。但面板用光刻機主要是10.5代線用光刻機出貨,共出貨13臺。

Canon

2020年,Canon光刻機營收約為88億元人民幣,較2019年下降約7%。

2020年,Canon半導體用全部是i-line、KrF兩個低端機臺出貨,光刻機出貨量達122臺,較2019年出貨增加38臺,增幅32%,其中i-line機臺是出貨的主力,得益于化合物半導體和板級封裝的發(fā)展。

2020年7月,Canon針對板級封裝推出i線步進式光刻機FPA-8000iW,可對應尺寸最大到515×510mm大型方形基板的能力。據悉佳能自主研發(fā)的投影光學系統(tǒng)可實現52×68mm的大視場曝光,達到了板級基板封裝光刻機中高標準的1.0微米解像力,將極大推動追求高速處理的AI芯片、HPCR的封裝。

佳能還將在2021年3月出貨新式i線步進式光刻機“FPA-3030i5a”,可以對硅基以及SiC(碳化硅)和GaN(氮化鎵)等化合物半導體晶圓,從而實現多種半導體器件的生產制造。。

2020年2月正式出貨FPA-3030iWa型光刻機,采用的投影透鏡具有52毫米x52毫米的廣角,NA可從0.16到0.24范圍內可調。新設備可以在2英寸到8英寸之間自由選擇晶圓尺寸的處理系統(tǒng),方便支持各種化合物半導體晶圓,可運用在未來需求增長的汽車功率器件、5G相關的通信器件、IoT相關器件(如MEMS傳感器等)的制造工藝中。

2020年,Canon面板(FPD)用光刻機出貨32臺,較2019年出貨量減少18臺,下滑36%。

二、其他公司出貨

上海微電子SMEE

上海微電子裝備(集團)股份有限公司光刻機主要用于廣泛應用于集成電路前道、先進封裝、FPD、MEMS、LED、功率器件等制造領域,2020年出貨預估在60+臺,較2019年增加約10臺,主要集中在先進封裝、LED方面,在FPD領域也有出貨。

不錯,我國正在協(xié)力攻關193nmDUV光刻機和浸沒式光刻機,在先進沒光刻機方面進展還是相當快。至于網上流傳的上海微電子將在2021年交付28納米光刻機一事,芯思想研究院認為不要很樂觀,但也不要悲觀。能成功交付當然是好事,不能按時交付媒體也不要去噴。

SUSS

德國SUSS光刻機主要用于半導體集成電路先進封裝、MEMS、LED,2020年光刻機收入約7.8億元人民幣,較2019年成長10%。

VEECO

2020年公司來自先進封裝、MEMS和LED用光刻機的營收約為4億元人民幣,較2019年成長30%。預估銷售臺數在30臺以內。

EVG

公司的光刻設備主要應用于先進封裝、面板等行業(yè),當然公司也出售對準儀等。

三、ASMLEUV進展

從2018年以來,ASML一直在加速EUV技術導入量產;二是實驗以0.55 NA取代目前的0.33 NA,具有更高NA的EUV微影系統(tǒng)能將EUV光源投射到較大角度的晶圓,從而提高分辨率,并且實現更小的特征尺寸。

2020年10月,ASML公布新一代TWINSCAN NXE: 3600D的參數規(guī)格。NXE: 3600D套刻精度提升至1.1nm,曝光速度30 mJ/cm2,每小時曝光160片晶圓。而NXE: 3400C的套刻精度為1.5nm,曝光速度20mJ/cm2,每小時可曝光170片晶圓;更早的NXE: 3400B的套刻精度為2nm、曝光速度20mJ/cm2,每小時可曝光125片晶圓。不過NXE: 3600D最快也要到2021年第二季發(fā)貨。

從2011年出售第一臺EUV機臺以來,截止2020年第四季出貨101臺。且EUV機臺單價越來越高,據悉,2020年第四季接獲得的6臺總額達86億人民幣,單臺價格超過14億人民幣。

預估2022年將推出0.55 NA的新機型EXE:5000樣機,可用于1納米生產,按照之前的情況推測,真正量產機型出貨可能要等到2024年。當然0.55 NA鏡頭的研發(fā)進度也會影響新機型的出貨時間。

2020年ASML全年出貨31臺EUV光刻機,沒有達到預期的35臺,也許和2020年的新冠疫情有關。

四、Canon NIL發(fā)展

針對7納米米以下節(jié)點,ASML的重點是EUV,同時也向客戶出售ArF浸沒系統(tǒng),ArF浸沒系統(tǒng)可與多種曝光工藝配合使用,將DUV光刻技術擴展到7納米以下;而Nikon只推ArF浸沒系統(tǒng)。

Canon押注納米壓?。∟anoimprint Lithography,NIL),該技術來源于佳能2014年收購的Molecular Imprints。

最新的納米壓?。∟IL)的參數指標不錯,套刻精度為2.4nm/3.2nm,每小時可曝光超過100片晶圓。

據悉,納米壓?。∟IL)已經達到3D NAND的要求,日本3D NAND大廠鎧俠(Kioxia,原東芝存儲部門)已經開始96層3D NAND中使用此技術。在3D NAND之外 也可以滿足1Anm DRAM的生產需求。
責任編輯:tzh

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯系本站處理。 舉報投訴
  • 集成電路
    +關注

    關注

    5367

    文章

    11162

    瀏覽量

    358386
  • 晶圓
    +關注

    關注

    52

    文章

    4743

    瀏覽量

    127278
  • 光刻機
    +關注

    關注

    31

    文章

    1136

    瀏覽量

    46908
收藏 人收藏

    評論

    相關推薦

    俄羅斯首臺光刻機問世

    據外媒報道,目前,俄羅斯首臺光刻機已經制造完成并正在進行測試。 俄羅斯聯邦工業(yè)和貿易部副部長瓦西里-什帕克(Vasily Shpak)表示,已組裝并制造了第一臺國產光刻機,作為澤廖諾格勒技術生產線
    的頭像 發(fā)表于 05-28 15:47 ?594次閱讀

    荷蘭阿斯麥稱可遠程癱瘓臺積電光刻機

    阿斯麥稱可遠程癱瘓臺積電光刻機 據彭博社爆料稱,有美國官員就大陸攻臺的后果私下向荷蘭和中國臺灣官員表達擔憂。對此,光刻機制造商阿斯麥(ASML)向荷蘭官員保證,可以遠程癱瘓(remotely
    的頭像 發(fā)表于 05-22 11:29 ?5586次閱讀

    臺積電A16制程采用EUV光刻機,2026年下半年量產

    據臺灣業(yè)內人士透露,臺積電并未為A16制程配備高數值孔徑(High-NA)EUV光刻機,而選擇利用現有的EUV光刻機進行生產。相較之下,英特爾和三星則計劃在此階段使用最新的High-NA EUV光刻機。
    的頭像 發(fā)表于 05-17 17:21 ?707次閱讀

    阿斯麥(ASML)公司首臺高數值孔徑EUV光刻機實現突破性成果

    )光刻機,并已經成功印刷出首批圖案。這一重要成就,不僅標志著ASML公司技術創(chuàng)新的新高度,也為全球半導體制造行業(yè)發(fā)展帶來了新的契機。目前,全球
    的頭像 發(fā)表于 04-18 11:50 ?610次閱讀
    阿斯麥(ASML)公司首臺高數值孔徑EUV<b class='flag-5'>光刻機</b>實現突破性成果

    光刻機的常見類型解析

    光刻機有很多種類型,但有時也很難用類型進行分類來區(qū)別設備,因為有些分類僅是在某一分類下的分類。
    發(fā)表于 04-10 15:02 ?1330次閱讀
    <b class='flag-5'>光刻機</b>的常見類型<b class='flag-5'>解析</b>

    光刻機發(fā)展歷程及工藝流程

    光刻機經歷了5代產品發(fā)展,每次改進和創(chuàng)新都顯著提升了光刻機所能實現的最小工藝節(jié)點。按照使用光源依次從g-line、i-line發(fā)展到KrF、ArF和EUV;按照工作原理依次從接觸接近式
    發(fā)表于 03-21 11:31 ?4826次閱讀
    <b class='flag-5'>光刻機</b>的<b class='flag-5'>發(fā)展</b>歷程及工藝流程

    ASML 首臺新款 EUV 光刻機 Twinscan NXE:3800E 完成安裝

    3 月 13 日消息,光刻機制造商 ASML 宣布其首臺新款 EUV 光刻機 Twinscan NXE:3800E 已完成安裝,新機型將帶來更高的生產效率。 ▲ ASML 在 X 平臺上的相關動態(tài)
    的頭像 發(fā)表于 03-14 08:42 ?424次閱讀
    ASML 首臺新款 EUV <b class='flag-5'>光刻機</b> Twinscan NXE:3800E 完成安裝

    光刻機巨頭ASML要搬離荷蘭?

    據荷蘭《電訊報》3月6日報道,因荷蘭政府的反移民政策傾向,光刻機巨頭阿斯麥(ASML)正計劃搬離荷蘭。
    的頭像 發(fā)表于 03-08 14:02 ?982次閱讀

    英特爾成為全球首家購買3.8億美元高數值孔徑光刻機的廠商

    英特爾最近因決定從荷蘭 ASML 購買世界上第一臺高數值孔徑(High-NA)光刻機而成為新聞焦點。到目前為止,英特爾是全球唯一一家訂購此類光刻機的晶圓廠,據報道它們的售價約為3.8億美元
    的頭像 發(fā)表于 03-06 14:49 ?361次閱讀
    英特爾成為<b class='flag-5'>全球</b>首家購買3.8億美元高數值孔徑<b class='flag-5'>光刻機</b>的廠商

    ASML光刻機技術的領航者,挑戰(zhàn)與機遇并存

    ASML在半導體產業(yè)中扮演著舉足輕重的角色,其光刻機技術和市場地位對于全球半導體制造廠商來說都具有重要意義。
    發(fā)表于 03-05 11:26 ?814次閱讀

    光刻膠和光刻機的區(qū)別

    光刻膠是一種涂覆在半導體器件表面的特殊液體材料,可以通過光刻機上的模板或掩模來進行曝光。
    的頭像 發(fā)表于 03-04 17:19 ?2951次閱讀

    光刻機結構及IC制造工藝工作原理

    光刻機是微電子制造的關鍵設備,廣泛應用于集成電路、平面顯示器、LED、MEMS等領域。在集成電路制造中,光刻機被用于制造芯片上的電路圖案。
    發(fā)表于 01-29 09:37 ?1944次閱讀
    <b class='flag-5'>光刻機</b>結構及IC制造工藝工作原理

    荷蘭政府撤銷ASML光刻機出口許可 中方回應美停止對華供光刻機

    在10-11月份中國進口ASML的光刻機激增10多倍后,美國官員聯系了荷蘭政府。荷蘭外交發(fā)言人表示,出口許可證是根據荷蘭國家安全逐案評估的。
    的頭像 發(fā)表于 01-03 15:22 ?953次閱讀

    英特爾搶下6種ASML HIGH NA光刻機

    如果我們假設光刻機成本為 3.5 億至 4 億美元,并且 2024 年 10 個光刻機的HIGH NA 銷售額將在 35億至40億美元之間。
    的頭像 發(fā)表于 12-28 11:31 ?738次閱讀

    全面解析***結構及工作原理

    光刻光刻機 ?對準和曝光在光刻機(Lithography Tool)內進行。 ?其它工藝在涂膠顯影(Track)上進行。 光刻
    發(fā)表于 12-19 09:28 ?530次閱讀
    全面<b class='flag-5'>解析</b>***結構及工作原理