0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

奇數(shù)分頻器的介紹和實現(xiàn)

FPGA之家 ? 來源:FPGA之家 ? 作者:FPGA之家 ? 2021-03-12 15:44 ? 次閱讀

因為偶數(shù)分頻器過于簡單,所以我們從奇數(shù)分頻器開始說起8

01 奇數(shù)分頻器

假設(shè)我們要實現(xiàn)一個2N+1分頻的分頻器,就需要高電平占N+0.5個周期,低電平占N+0.5個周期,這樣進行處理的最小時間段就變成了0.5個周期,就不能通過clk的計數(shù)直接實現(xiàn)了。

然而,時鐘信號的上升沿和下降沿之間正好相差0.5個周期,利用這個就可以實現(xiàn)奇數(shù)分頻啦

第一步:分別使用原時鐘上升沿和下降沿產(chǎn)生兩個計數(shù)器(基于上升沿計數(shù)的cnt1和基于下降沿計數(shù)的cnt2),計數(shù)器在計數(shù)到2N時,計數(shù)器歸零重新從零開始計數(shù),依次循環(huán)

第二步:cnt1計數(shù)到0和N時,clk1翻轉(zhuǎn),從而得到占空比為N:2N+1的clk1;

第三步:cnt2計數(shù)到0和N時,clk2翻轉(zhuǎn),從而得到占空比為N:2N+1的clk2;

第四步:clk1和clk2時鐘進行或操作后,即可得到輸出時鐘clk_out;

下面為3分頻的實現(xiàn)

module DIVCLK(

input wire clk,

input wire rst_n,

output wire clk_out

);

reg clk1;

reg clk2;

reg [1:0] cnt1;

reg [1:0] cnt2;

always @(posedge clk or negedge rst_n) begin

if (!rst_n) begin

cnt1 <= 2'd0;

clk1 <= 1'b0;

end

else if (cnt1==2'd2) begin

cnt1 <= 2'd0;

end

else if ((cnt1==2'd0)||(cnt1==2'd1))begin

clk1 <= ~clk1;

cnt1=cnt1+2'd1;

end

else

cnt1=cnt1+2'd1;

end

always @(negedge clk or negedge rst_n) begin

if (!rst_n) begin

cnt2 <= 2'd0;

clk2 <= 1'b0;

end

else if (cnt2==2'd2) begin

cnt2 <= 2'd0;

end

else if ((cnt2==2'd0)||(cnt2==2'd1))begin

clk2 <= ~clk2;

cnt2=cnt2+2'd1;

end

else

cnt2=cnt2+2'd1;

end

assign clk_out=clk1|clk2;

endmodule

02 任意小數(shù)分頻

在實際設(shè)計中,可能會需要小數(shù)分頻的辦法的到時鐘,如在38.88M的SDH同步系統(tǒng)中,對應(yīng)STM-1的開銷的提取,需要2.048M的時鐘,無法通過整數(shù)分頻得到,只能用小數(shù)分頻。

小數(shù)分頻原理如下:設(shè)輸入時鐘頻率f0,輸出頻率為fx,則

4258f9b0-82f5-11eb-8b86-12bb97331649.jpg

即m為整數(shù)部分,n為小數(shù)部分。為了實現(xiàn)K分頻,可以對f0進行a次m分頻和b次m+1分頻,則有

4291de7e-82f5-11eb-8b86-12bb97331649.png

整理后得

42d4cda6-82f5-11eb-8b86-12bb97331649.jpg

由38.88M得到2.048M的時鐘,帶入上式可得到m=18,a=1,b=63,即對38.88M進行1次18分頻和63次19分頻后間插就得到2.048MHz啦

4303fd10-82f5-11eb-8b86-12bb97331649.jpg

原文標(biāo)題:關(guān)于分頻器~

文章出處:【微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 分頻器
    +關(guān)注

    關(guān)注

    43

    文章

    445

    瀏覽量

    49580

原文標(biāo)題:關(guān)于分頻器~

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    DC/DC轉(zhuǎn)換中電阻反饋分頻器的設(shè)計考慮

    電子發(fā)燒友網(wǎng)站提供《DC/DC轉(zhuǎn)換中電阻反饋分頻器的設(shè)計考慮.pdf》資料免費下載
    發(fā)表于 08-26 14:52 ?0次下載
    DC/DC轉(zhuǎn)換<b class='flag-5'>器</b>中電阻反饋<b class='flag-5'>分頻器</b>的設(shè)計考慮

    LMK01000高性能時鐘緩沖、分頻器和分配器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《LMK01000高性能時鐘緩沖、分頻器和分配器數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 08-21 09:53 ?0次下載
    LMK01000高性能時鐘緩沖<b class='flag-5'>器</b>、<b class='flag-5'>分頻器</b>和分配器數(shù)據(jù)表

    CDCM6208V2G具有小數(shù)分頻器的2:8時鐘發(fā)生/抖動消除數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《CDCM6208V2G具有小數(shù)分頻器的2:8時鐘發(fā)生/抖動消除數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 08-20 09:14 ?0次下載
    CDCM6208V2G具有小<b class='flag-5'>數(shù)分頻器</b>的2:8時鐘發(fā)生<b class='flag-5'>器</b>/抖動消除<b class='flag-5'>器</b>數(shù)據(jù)表

    CDCM6208V1F具有小數(shù)分頻器的2:8時鐘生成器/抖動消除數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《CDCM6208V1F具有小數(shù)分頻器的2:8時鐘生成器/抖動消除數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 08-20 09:13 ?0次下載
    CDCM6208V1F具有小<b class='flag-5'>數(shù)分頻器</b>的2:8時鐘生成器/抖動消除<b class='flag-5'>器</b>數(shù)據(jù)表

    具有小數(shù)分頻器的CDCM6208 2:8時鐘生成器/抖動消除數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《具有小數(shù)分頻器的CDCM6208 2:8時鐘生成器/抖動消除數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 08-20 09:07 ?0次下載
    具有小<b class='flag-5'>數(shù)分頻器</b>的CDCM6208 2:8時鐘生成器/抖動消除<b class='flag-5'>器</b>數(shù)據(jù)表

    PE35400高性能超CMOS預(yù)分頻器英文手冊

    電子發(fā)燒友網(wǎng)站提供《PE35400高性能超CMOS預(yù)分頻器英文手冊.pdf》資料免費下載
    發(fā)表于 07-31 13:21 ?0次下載

    一個簡單的分頻器電路分享

    這是一個簡單的分頻器電路,該電路的優(yōu)點是電路小,它僅使用晶體管和其他幾個組件。
    的頭像 發(fā)表于 06-10 15:55 ?766次閱讀
    一個簡單的<b class='flag-5'>分頻器</b>電路分享

    CMOS24級分頻器CD4521B TYPES數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《CMOS24級分頻器CD4521B TYPES數(shù)據(jù)表.pdf》資料免費下載
    發(fā)表于 05-22 09:47 ?0次下載
    CMOS24級<b class='flag-5'>分頻器</b>CD4521B TYPES數(shù)據(jù)表

    分頻器的作用 分頻器的功率是不是越大越好

    分頻器是一種電子設(shè)備,用于將輸入信號分成不同頻率的輸出信號。其主要作用是將原始輸入信號分離成多個頻率范圍內(nèi)的信號,以供不同的電路進行處理。分頻器廣泛應(yīng)用于通信、測量和音頻系統(tǒng)中。 分頻器的主要
    的頭像 發(fā)表于 02-01 11:19 ?2511次閱讀

    鎖相環(huán)整數(shù)分頻和小數(shù)分頻的區(qū)別是什么?

    鎖相環(huán)整數(shù)分頻和小數(shù)分頻的區(qū)別是什么? 鎖相環(huán)(PLL)是一種常用的電子電路,用于將輸入的時鐘信號與參考信號進行同步,并生成輸出信號的一種技術(shù)。在PLL中,分頻器模塊起到關(guān)鍵作用,可以實現(xiàn)
    的頭像 發(fā)表于 01-31 15:24 ?2129次閱讀

    【每周一練】盤古1K開發(fā)板 練習(xí)六:時鐘分頻器設(shè)計

    時鐘信號的處理是FPGA開發(fā)中一個特色,由于不同模塊之間需要不同的時鐘進行控制。通過設(shè)計模塊進行時鐘分頻是FPGA開發(fā)過程中的一個重要訓(xùn)練。本文介紹如何實現(xiàn)任意整數(shù)的分頻器,
    發(fā)表于 12-24 18:10

    如何實現(xiàn)分頻時鐘的切換

    其實這個分頻時鐘切換很簡單,根本不需要額外的切換電路。一個共用的計數(shù),加一點控制邏輯,就可以了,而且可以實現(xiàn)2到16任意整數(shù)分頻率之間的無縫切換。
    的頭像 發(fā)表于 12-14 15:28 ?611次閱讀
    如何<b class='flag-5'>實現(xiàn)</b><b class='flag-5'>分頻</b>時鐘的切換

    如何實現(xiàn)一種占空比為50%的奇數(shù)分頻器設(shè)計呢?

    在進行數(shù)字電路設(shè)計的過程中,分頻器是設(shè)計中使用頻率較高的一種基本設(shè)計之一
    的頭像 發(fā)表于 11-07 17:29 ?2401次閱讀
    如何<b class='flag-5'>實現(xiàn)</b>一種占空比為50%的<b class='flag-5'>奇數(shù)分頻器</b>設(shè)計呢?

    FPGA學(xué)習(xí)-分頻器設(shè)計

    是用于滿足設(shè)計的需求。 分頻:產(chǎn)生比板載時鐘小的時鐘。 倍頻:產(chǎn)生比板載時鐘大的時鐘。 二:分頻器的種類 對于分頻電路來說,可以分為整數(shù)分頻和小數(shù)分
    的頭像 發(fā)表于 11-03 15:55 ?1264次閱讀
    FPGA學(xué)習(xí)-<b class='flag-5'>分頻器</b>設(shè)計

    Sigma-Delta小數(shù)分頻PLL中的分頻器該怎么做?

    文獻給出的分頻器結(jié)構(gòu)如圖1所示。該分頻器最高輸入頻率(f~in~)為16.3GHz,也就是一個周期只有(T~in~,T ~in~ = 1/ f~in~)61.3ps。
    的頭像 發(fā)表于 10-31 12:54 ?1791次閱讀
    Sigma-Delta小<b class='flag-5'>數(shù)分頻</b>PLL中的<b class='flag-5'>分頻器</b>該怎么做?