0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA架構(gòu)中的全局時(shí)鐘資源介紹

FPGA之家 ? 來(lái)源:FPGA技術(shù)實(shí)戰(zhàn) ? 作者:FPGA技術(shù)實(shí)戰(zhàn) ? 2021-03-22 10:09 ? 次閱讀

引言:本文我們介紹一下全局時(shí)鐘資源。全局時(shí)鐘是一個(gè)專(zhuān)用的互連網(wǎng)絡(luò),專(zhuān)門(mén)設(shè)計(jì)用于到達(dá)FPGA中各種資源的所有時(shí)鐘輸入。這些網(wǎng)絡(luò)被設(shè)計(jì)成具有低偏移和低占空比失真、低功耗和改進(jìn)的抖動(dòng)容限。它們也被設(shè)計(jì)成支持非常高頻率的信號(hào)。了解全局時(shí)鐘的信號(hào)路徑可以擴(kuò)展對(duì)各種全局時(shí)鐘資源的理解。全局時(shí)鐘資源和網(wǎng)絡(luò)由以下路徑和組件組成:

時(shí)鐘樹(shù)和網(wǎng)絡(luò):GCLK

時(shí)鐘區(qū)域

全局時(shí)鐘緩沖器

1. 時(shí)鐘樹(shù)和網(wǎng)絡(luò):GCLK

7系列FPGA時(shí)鐘樹(shù)設(shè)計(jì)用于低偏差和低功耗操作,任何未使用時(shí)鐘的分支都會(huì)被斷開(kāi)。時(shí)鐘樹(shù)還可用于驅(qū)動(dòng)邏輯資源,如復(fù)位或時(shí)鐘啟用,這主要用于高扇出/負(fù)載網(wǎng)絡(luò)。在7系列FPGA結(jié)構(gòu)中,全局時(shí)鐘線的引腳訪問(wèn)不限于邏輯資源時(shí)鐘引腳。全局時(shí)鐘線可以驅(qū)動(dòng)CLB中除CLK引腳以外的引腳(例如:控制引腳SR和CE)。需要非??焖俚男盘?hào)連接和大負(fù)載/扇出的應(yīng)用程序可以從該架構(gòu)中獲益。

2. 時(shí)鐘域

7系列器件通過(guò)使用時(shí)鐘區(qū)域改善時(shí)鐘分布。每個(gè)時(shí)鐘區(qū)域最多可以有12個(gè)全局時(shí)鐘域。這12個(gè)全局時(shí)鐘可以由單片器件或SLR中的32個(gè)全局時(shí)鐘緩沖器的任意組合驅(qū)動(dòng)。時(shí)鐘區(qū)域的尺寸固定為50個(gè)CLB高(50個(gè)IOB),跨越die的左側(cè)或右側(cè)。在7系列器件中,時(shí)鐘主干線將器件分成左側(cè)或右側(cè)。通過(guò)固定時(shí)鐘區(qū)域的尺寸,較大的7系列器件可以有更多的時(shí)鐘區(qū)域。7系列FPGA提供1到24個(gè)時(shí)鐘區(qū)域。

3. 全局時(shí)鐘緩沖器

在7系列器件中有多達(dá)32個(gè)全局時(shí)鐘緩沖器。CCIO輸入可以直接連接到器件同一半的任何全局時(shí)鐘緩沖器。每個(gè)差分時(shí)鐘管腳對(duì)可以連接到PCB上的差分時(shí)鐘或單端時(shí)鐘。當(dāng)用作差分時(shí)鐘輸入時(shí),直接連接來(lái)自差分輸入管腳對(duì)的P側(cè)。當(dāng)用作單端時(shí)鐘輸入時(shí),必須使用管腳對(duì)的P側(cè),因?yàn)橹苯舆B接只存在于該管腳上。有關(guān)管腳命名約定,請(qǐng)參閱UG475:7系列FPGA封裝和引腳輸出規(guī)范。如果單端時(shí)鐘連接到差分管腳對(duì)的P側(cè),則N端不能用作另一個(gè)單端時(shí)鐘管腳。但是,它可以用作用戶I/O。器件上半部分的CMT只能驅(qū)動(dòng)器件上半部分的BUFG,下半部分的CMT只能驅(qū)動(dòng)下半部分的BUFG。類(lèi)似地,只有器件的同一半中的BUFG可以用作對(duì)器件同一半中的CMT反饋。當(dāng)CMT列擴(kuò)展到同時(shí)包含GT和I/O列的區(qū)域時(shí),千兆收發(fā)器(GTs)只能直接連接到MMCMs/PLL。Virtex-7T和Virtex-7XT器件有這些完整的列。Spartan-7、Artix-7、Kintex-7和Zynq-7000器件中的GT和CMT只能使用BUFHs(首選)或BUFGs進(jìn)行連接。全局時(shí)鐘緩沖器允許各種時(shí)鐘/信號(hào)源訪問(wèn)全局時(shí)鐘樹(shù)和網(wǎng)絡(luò)。輸入到全局時(shí)鐘緩沖器的可能來(lái)源包括:

時(shí)鐘輸入

在器件同一半?yún)^(qū)域的時(shí)鐘管理塊(CMT)驅(qū)動(dòng)BUFG

相鄰全局時(shí)鐘緩沖器輸出(BUFGs)

通用互連

區(qū)域時(shí)鐘緩沖器(BUFRs)

收發(fā)器

7系列FPGA時(shí)鐘輸入可以通過(guò)時(shí)鐘主干列中的垂直時(shí)鐘網(wǎng)絡(luò)間接地驅(qū)動(dòng)全局時(shí)鐘緩沖器。32個(gè)BUFG被分成兩組,每組16個(gè)BUFGs,分別位于器件的頂部和底部。直接連接到BUFGs的任何資源(例如,GTX收發(fā)器)都有一個(gè)頂部/底部限制。例如,頂部的每個(gè)MMCM只能驅(qū)動(dòng)設(shè)備頂部的16個(gè)BUFGs。同樣,底部的MMCMs驅(qū)動(dòng)底部的16個(gè)BUFGs。

所有全局時(shí)鐘緩沖器可以驅(qū)動(dòng)7系列設(shè)備中的所有時(shí)鐘區(qū)域。然而,在一個(gè)時(shí)鐘區(qū)域內(nèi)只能驅(qū)動(dòng)12個(gè)不同的時(shí)鐘。時(shí)鐘緩沖器被設(shè)計(jì)成具有兩個(gè)時(shí)鐘輸入的同步或異步glitch-free2:1多路復(fù)用器。BUFG級(jí)聯(lián)有一個(gè)專(zhuān)用路徑(路由資源),允許兩個(gè)以上的時(shí)鐘輸入選擇。7系列FPGA控制引腳提供廣泛的功能和強(qiáng)大的輸入切換。在7系列FPGA時(shí)鐘結(jié)構(gòu)中,BUFGCTRL多路復(fù)用器和所有派生器可以級(jí)聯(lián)到器件上半部分和下半部分16個(gè)BUFGMUX組內(nèi)的相鄰時(shí)鐘緩沖器,有效地在上半部分創(chuàng)建一個(gè)16個(gè)BUFGMUX(BUFGCTRL多路復(fù)用器)環(huán),在下半部分形成另一個(gè)16個(gè)環(huán)。圖1顯示了級(jí)聯(lián)BUFG的簡(jiǎn)化圖。

圖1、級(jí)聯(lián)BUFGs以下小節(jié)詳細(xì)介紹了7系列FPGA時(shí)鐘緩沖器的各種配置、原語(yǔ)和使用模型。

3.1 全局時(shí)鐘緩沖器原句

表1中的原句是全局時(shí)鐘緩沖器的不同配置。ISE或Vivado設(shè)計(jì)工具管理所有這些原語(yǔ)的配置,約束指南描述了LOC約束。

85af4a4a-8924-11eb-8b86-12bb97331649.png

表1、全局時(shí)鐘緩沖器原句

BUFGCTRL

BUFGCTRL原句框圖如圖2所示,它可以實(shí)現(xiàn)兩路異步時(shí)鐘切換。所有其他全局緩沖語(yǔ)句都可以由BUFGCTRL的某種配置得到。BUFGCTRL有四個(gè)選擇端口:S0、S1、CE0和CE1,另外還有兩個(gè)附件的控制線,IGNORE0和IGNORE1。這六個(gè)信號(hào)用于控制輸入I0和I1。

圖2、 BFUGCTRL原句BUFGCTRL用于在兩路時(shí)鐘輸入之間切換,而不會(huì)產(chǎn)生毛刺。當(dāng)當(dāng)前選擇的時(shí)鐘在S0和S1改變后從高電平轉(zhuǎn)換到低電平時(shí),輸出保持在低電平,直到另一個(gè)(待選擇的)時(shí)鐘從高電平轉(zhuǎn)換到低電平。然后新的時(shí)鐘開(kāi)始驅(qū)動(dòng)輸出,BUFGCTRL的默認(rèn)配置是下降沿敏感型,在輸入切換之前保持在低位。BUFGCTRL還可以對(duì)上升沿敏感,并在輸入切換之前使用INIT_OUT屬性保持在高極性。在某些應(yīng)用中,上述條件是不可取的。斷言IGNORE引腳將繞過(guò)BUFGCTRL來(lái)檢測(cè)在兩個(gè)時(shí)鐘輸入之間切換的條件。換句話說(shuō),斷言IGNORE會(huì)導(dǎo)致MUX在選擇管腳更改時(shí)切換輸入。當(dāng)選擇引腳改變時(shí),IGNORE0使輸出立即從I0輸入切換,而當(dāng)選擇引腳改變時(shí),IGNORE1使輸出立即從I1輸入切換。選擇一個(gè)輸入時(shí)鐘需要一個(gè)“選擇”對(duì)(S0和CE0,或S1和CE1)被斷言為高。如果S或CE沒(méi)有被斷言為高,則所需的輸入將不會(huì)被激活選定。在正常運(yùn)行時(shí),S和CE對(duì)(所有四條選擇線)都不應(yīng)同時(shí)斷言高。通常,“選擇”對(duì)中只有一個(gè)管腳用作選擇線,而另一個(gè)管腳系在高處。真值表如表2所示。

863e136a-8924-11eb-8b86-12bb97331649.jpg

表2、時(shí)鐘資源真值表雖然S和CE都用于選擇所需的輸出,但建議只有S用于無(wú)故障切換。這是因?yàn)楫?dāng)使用CE切換時(shí)鐘時(shí),時(shí)鐘選擇的變化可能比使用S快。CE引腳的建立/保持時(shí)間會(huì)導(dǎo)致時(shí)鐘輸出出現(xiàn)毛刺。另一方面,使用S引腳可以在兩個(gè)時(shí)鐘輸入之間切換,而不考慮設(shè)置/保持時(shí)間。因此,使用S來(lái)切換時(shí)鐘不會(huì)導(dǎo)致毛刺。請(qǐng)參見(jiàn)BUFGMUX_ CTRL。圖3中的時(shí)序圖說(shuō)明了使用BUFGCTRL原語(yǔ)的各種時(shí)鐘切換條件。

86b70ce8-8924-11eb-8b86-12bb97331649.png

圖3、BUFGCTRL原語(yǔ)的時(shí)序圖在事件1之前,輸出O使用輸入I0;BUFGCTRL的其他功能包括:

I0和I1輸入的預(yù)選在配置之后但在設(shè)備運(yùn)行之前進(jìn)行。

配置后的初始輸出可選擇為高或低。

僅使用CE0和CE1的時(shí)鐘選擇(S0和S1綁定高)可以更改時(shí)鐘選擇,而無(wú)需等待先前選擇的時(shí)鐘從高到低的轉(zhuǎn)換。

表3概括了BUFGCTRL原句的屬性。

86f8d98e-8924-11eb-8b86-12bb97331649.png

表3 BUFGCTRL屬性

BUFG

BUFG是一個(gè)時(shí)鐘緩沖器,具有一個(gè)時(shí)鐘輸入和一個(gè)時(shí)鐘輸出。這個(gè)原語(yǔ)基于BUFGCTRL,有些管腳連接到邏輯高或低。圖2-5說(shuō)明了BUFG和BUFGCTRL的關(guān)系。可以手動(dòng)放置約束的位置。

87609358-8924-11eb-8b86-12bb97331649.jpg

圖4、BUFG和BUFGCTRLBUFG時(shí)序圖如圖5所示。圖中顯示了BUFG引入的時(shí)鐘延遲TBCCKO_O

圖5、 BUFG時(shí)序圖

BUFGCE和BUFGCE_1

與BUFG不同,BUFGCE是一個(gè)具有一個(gè)時(shí)鐘輸入、一個(gè)時(shí)鐘輸出和一條時(shí)鐘使能線的時(shí)鐘緩沖器。這個(gè)原語(yǔ)基于BUFGCTRL,有些管腳連接到邏輯高或低。圖6說(shuō)明了BUFGCE和BUFGCTRL的關(guān)系。LOC約束可用于手動(dòng)放置BUFGCE和BUFGCE_ 1位置。

圖6、 BUFGCE和BUFGCTRLBUFGCE的切換條件類(lèi)似于BUFGCTRL。如果CE輸入在進(jìn)入上升時(shí)鐘邊緣之前為低電平,則隨后的時(shí)鐘脈沖不會(huì)通過(guò)時(shí)鐘緩沖器,并且輸出保持低電平。在進(jìn)入時(shí)鐘高脈沖期間,CE的任何電平變化在時(shí)鐘轉(zhuǎn)換為低之前都沒(méi)有影響。當(dāng)時(shí)鐘被禁用時(shí),輸出保持低。但是,當(dāng)時(shí)鐘被禁用時(shí),它將完成時(shí)鐘高脈沖。由于時(shí)鐘使能線路使用BUFGCTRL的CE引腳,因此選擇信號(hào)必須滿足建立時(shí)間要求。違反此建立時(shí)間可能會(huì)導(dǎo)致毛刺。圖7說(shuō)明了BUFGCE的時(shí)序圖。

圖7、 BUFGCE的時(shí)序圖BUFGCE_1與BUFGCE類(lèi)似,只是其開(kāi)關(guān)條件不同。如果CE輸入在進(jìn)入下降時(shí)鐘邊緣之前是低的,則隨后的時(shí)鐘脈沖不通過(guò)時(shí)鐘緩沖器,并且輸出保持高。在進(jìn)入時(shí)鐘低脈沖期間,CE的任何電平變化在時(shí)鐘變高之前都沒(méi)有影響。當(dāng)時(shí)鐘被禁用時(shí),輸出保持高電平。但是,當(dāng)時(shí)鐘被禁用時(shí),它將完成時(shí)鐘低脈沖。

圖8、BUFGCE_1的時(shí)序圖

BUFGMUX和BUFGMUX_1

BUFGMUX是一個(gè)具有兩個(gè)時(shí)鐘輸入、一個(gè)時(shí)鐘輸出和一條選擇線的時(shí)鐘緩沖器。這個(gè)原語(yǔ)基于BUFGCTRL,有些管腳連接到邏輯高位或高位低。圖9說(shuō)明了BUFGMUX和BUFGCTRL的關(guān)系。LOC約束可用于手動(dòng)放置BUFGMUX和BUFGCTRL位置。

892d5b44-8924-11eb-8b86-12bb97331649.png

圖9、 BUFGMUX和BUFGCTRL由于BUFGMUX使用CE管腳作為選擇管腳,因此在使用選擇管腳時(shí),必須滿足建立時(shí)間要求。違反此建立時(shí)間可能會(huì)導(dǎo)致毛刺。BUFGMUX的開(kāi)關(guān)條件與上的CE引腳相同BUFGCTRL.圖圖10顯示了BUFGMUX的時(shí)序圖。

8986ac9e-8924-11eb-8b86-12bb97331649.png

圖10、BUFGMUX時(shí)序圖

BUFGMUX_1對(duì)上升沿敏感,在輸入開(kāi)關(guān)之前保持在高電平。圖11顯示了BUFGMUX_ 1的時(shí)序圖。LOC約束可用于手動(dòng)放置BUFGMUX和BUFGMUX_1位置。

89c0d414-8924-11eb-8b86-12bb97331649.png

圖10、BUFGMUX_1時(shí)序圖

表3、 BUFGMUX屬性

BUFGMUX_CTRL

BUFGMUX_CTRL替換了BUFGMUX_VIRTEX4遺留版本基元.BUFGMUX_CTRL是一個(gè)具有兩個(gè)時(shí)鐘輸入、一個(gè)時(shí)鐘輸出和一條選擇線的時(shí)鐘緩沖區(qū)。這個(gè)原語(yǔ)基于BUFGCTRL,有些管腳連接到邏輯高或低。圖12顯示了BUFGMUX_CTRL和BUFGCTRL的關(guān)系。

8a93cb9e-8924-11eb-8b86-12bb97331649.png

圖12、BUFGMUX_CTRL和BUFGCTRLCTRL和MUX選擇管腳。S可以隨時(shí)切換而不會(huì)引起故障。S上的建立/保持時(shí)間用于確定在切換到新時(shí)鐘之前,輸出是否將通過(guò)先前選擇的時(shí)鐘的額外脈沖。如果S如圖2-14所示在設(shè)置時(shí)間TBCCCK_S之前和I0從高變低之前發(fā)生變化,則輸出將不會(huì)傳遞額外的I0脈沖。如果S在S的保持時(shí)間后發(fā)生變化,則輸出將傳遞一個(gè)額外的脈沖。如果S違反建立/保持要求,輸出可能會(huì)傳遞額外的脈沖,但不會(huì)出現(xiàn)故障。在任何情況下,輸出將在慢時(shí)鐘的三個(gè)時(shí)鐘周期內(nèi)更改為新時(shí)鐘。S0和S1的建立/保持要求與時(shí)鐘下降沿有關(guān),而不是與CE0和CE1的上升沿有關(guān)。

BUFGMUX_CTRL的切換條件與BUFGCTRL.圖13顯示了BUFGMUX_CTRL的時(shí)序圖。

圖13、BUFGMUX_CTRL時(shí)序圖BUFGMUX_CTRL原語(yǔ)的其他功能包括:

配置后預(yù)選I0和I1輸入。

初始輸出可在配置后選擇為高或低

原文標(biāo)題:Xilinx 7系列FPGA架構(gòu)之時(shí)鐘資源(三)

文章出處:【微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21509

    瀏覽量

    598867
  • Xilinx
    +關(guān)注

    關(guān)注

    70

    文章

    2136

    瀏覽量

    120373
  • 時(shí)鐘
    +關(guān)注

    關(guān)注

    10

    文章

    1673

    瀏覽量

    130946

原文標(biāo)題:Xilinx 7系列FPGA架構(gòu)之時(shí)鐘資源(三)

文章出處:【微信號(hào):zhuyandz,微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    FPGA芯片架構(gòu)資源有深入的理解,精通Verilog HDL、VHDL

    、計(jì)算機(jī)相關(guān)專(zhuān)業(yè),具有良好的專(zhuān)業(yè)基礎(chǔ)知識(shí)。 2.工作年限不限,有工作經(jīng)驗(yàn)或優(yōu)秀應(yīng)屆畢業(yè)生亦可。 3.對(duì)FPGA芯片架構(gòu)資源有深入的理解,精通Verilog HDL、VHDL編程語(yǔ)言,熟悉時(shí)序約束、時(shí)序分析
    發(fā)表于 09-15 15:23

    FPGA如何消除時(shí)鐘抖動(dòng)

    FPGA(現(xiàn)場(chǎng)可編程門(mén)陣列)設(shè)計(jì),消除時(shí)鐘抖動(dòng)是一個(gè)關(guān)鍵任務(wù),因?yàn)?b class='flag-5'>時(shí)鐘抖動(dòng)會(huì)直接影響系統(tǒng)的時(shí)序性能、穩(wěn)定性和可靠性。以下將詳細(xì)闡述FPGA
    的頭像 發(fā)表于 08-19 17:58 ?416次閱讀

    FPGA開(kāi)發(fā)過(guò)程配置全局時(shí)鐘需要注意哪些問(wèn)題

    FPGA開(kāi)發(fā)過(guò)程,配置全局時(shí)鐘是一個(gè)至關(guān)重要的步驟,它直接影響到整個(gè)系統(tǒng)的時(shí)序和性能。以下是配置全局
    發(fā)表于 04-28 09:43

    FPGA時(shí)鐘電路結(jié)構(gòu)原理

    FPGA 包含一些全局時(shí)鐘資源。以AMD公司近年的主流FPGA為例,這些
    發(fā)表于 04-25 12:58 ?1378次閱讀
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>時(shí)鐘</b>電路結(jié)構(gòu)原理

    fpga芯片架構(gòu)介紹

    FPGA(現(xiàn)場(chǎng)可編程門(mén)陣列)芯片架構(gòu)是一種高度靈活和可編程的集成電路架構(gòu),它以其獨(dú)特的結(jié)構(gòu)和功能,在現(xiàn)代電子系統(tǒng)扮演著至關(guān)重要的角色。FPGA
    的頭像 發(fā)表于 03-15 14:56 ?536次閱讀

    fpga是什么架構(gòu)

    FPGA(現(xiàn)場(chǎng)可編程門(mén)陣列)的架構(gòu)主要由可配置邏輯模塊(CLB)、輸入/輸出模塊(IOB)以及可編程互連資源組成。
    的頭像 發(fā)表于 03-14 17:05 ?601次閱讀

    UltraScale系列與7系列FPGA的差異

    已從該架構(gòu)移除BUFMRs、BUFRs、BUFIOs及其相關(guān)的路由資源,并被新的時(shí)鐘緩沖器、時(shí)鐘路由和全新的I/O
    的頭像 發(fā)表于 03-12 10:03 ?876次閱讀

    FPGA時(shí)鐘內(nèi)部設(shè)計(jì)方案

    時(shí)鐘設(shè)計(jì)方案 在復(fù)雜的FPGA設(shè)計(jì),設(shè)計(jì)時(shí)鐘方案是一項(xiàng)具有挑戰(zhàn)性的任務(wù)。設(shè)計(jì)者需要很好地掌握目標(biāo)器件所能提供的時(shí)鐘
    發(fā)表于 01-22 09:30 ?447次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時(shí)鐘</b>內(nèi)部設(shè)計(jì)方案

    FPGA時(shí)鐘的用法

    生成時(shí)鐘包括自動(dòng)生成時(shí)鐘(又稱為自動(dòng)衍生時(shí)鐘)和用戶生成時(shí)鐘。自動(dòng)生成時(shí)鐘通常由PLL或MMCM生成,也可以由具有分頻功能的
    的頭像 發(fā)表于 01-11 09:50 ?1397次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>中</b><b class='flag-5'>時(shí)鐘</b>的用法

    虹科干貨 | 適用于基于FPGA的網(wǎng)絡(luò)設(shè)備的IEEE 1588透明時(shí)鐘架構(gòu)

    導(dǎo)讀:在基于FPGA的網(wǎng)絡(luò)設(shè)備,精確的時(shí)間同步至關(guān)重要。IEEE 1588標(biāo)準(zhǔn)定義的精確時(shí)間協(xié)議(PTP)為網(wǎng)絡(luò)的設(shè)備提供了納秒級(jí)的時(shí)間同步。本文將介紹虹科提供的適用于基于
    的頭像 發(fā)表于 11-27 10:57 ?1021次閱讀
    虹科干貨 | 適用于基于<b class='flag-5'>FPGA</b>的網(wǎng)絡(luò)設(shè)備的IEEE 1588透明<b class='flag-5'>時(shí)鐘架構(gòu)</b>

    適用于基于FPGA的網(wǎng)絡(luò)設(shè)備的IEEE 1588透明時(shí)鐘架構(gòu)

    在基于FPGA的網(wǎng)絡(luò)設(shè)備,精確的時(shí)間同步至關(guān)重要。虹科IEEE1588標(biāo)準(zhǔn)定義的精確時(shí)間協(xié)議(PTP)為網(wǎng)絡(luò)的設(shè)備提供了納秒級(jí)的時(shí)間同步。本文將介紹虹科提供的適用于基于
    的頭像 發(fā)表于 11-23 08:04 ?578次閱讀
    適用于基于<b class='flag-5'>FPGA</b>的網(wǎng)絡(luò)設(shè)備的IEEE 1588透明<b class='flag-5'>時(shí)鐘架構(gòu)</b>

    FPGA塊RAM的分布和特性

    在選擇FPGA時(shí),關(guān)注LUT(Look-Up Table)和BRAM(Block RAM)是非常重要的,因?yàn)樗鼈兪?b class='flag-5'>FPGA架構(gòu)的兩個(gè)核心資源
    的頭像 發(fā)表于 11-21 15:03 ?1658次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>中</b>塊RAM的分布和特性

    Xilinx 7系列FPGAMMCM和PLL的區(qū)別

    7系列FPGA包含最多24個(gè)CMT塊,CMT具體的分布和與其他時(shí)鐘資源的關(guān)系請(qǐng)參考本合集(FPGA應(yīng)用開(kāi)發(fā))的上一篇文章。本文主要介紹CMT
    的頭像 發(fā)表于 11-17 17:08 ?5008次閱讀
    Xilinx 7系列<b class='flag-5'>FPGA</b><b class='flag-5'>中</b>MMCM和PLL的區(qū)別

    如何正確應(yīng)用FPGA的四種時(shí)鐘資源?

    把握DCM、PLL、PMCD和MMCM知識(shí)是穩(wěn)健可靠的時(shí)鐘設(shè)計(jì)策略的基礎(chǔ)。賽靈思在其FPGA中提供了豐富的時(shí)鐘資源,大多數(shù)設(shè)計(jì)人員在他們的FPGA
    發(fā)表于 10-30 11:47 ?1225次閱讀
    如何正確應(yīng)用<b class='flag-5'>FPGA</b>的四種<b class='flag-5'>時(shí)鐘</b><b class='flag-5'>資源</b>?

    FPGA為什么有時(shí)候還需要一個(gè)時(shí)鐘配置芯片提供時(shí)鐘呢?

    FPGA為什么有時(shí)候還需要一個(gè)時(shí)鐘配置芯片提供時(shí)鐘呢? FPGA(Field Programmable Gate Array)是一種可編程邏輯器件,可以根據(jù)不同需要編程,實(shí)現(xiàn)不同的功能
    的頭像 發(fā)表于 10-25 15:14 ?1486次閱讀