0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

新思科技授權(quán)芯耀輝運(yùn)用新思科技12-28納米工藝技術(shù)

電子工程師 ? 來(lái)源:中國(guó)硬件創(chuàng)新大賽 ? 作者:半導(dǎo)體產(chǎn)業(yè)基金 ? 2021-03-22 15:58 ? 次閱讀

字節(jié)跳動(dòng)回應(yīng)自研芯片:組建相關(guān)團(tuán)隊(duì) 在AI芯片領(lǐng)域做探索3月16日消息,今日自媒體“半導(dǎo)體行業(yè)觀察”發(fā)布消息稱,字節(jié)跳動(dòng)正在自研云端AI芯片和Arm服務(wù)器芯片。對(duì)此,字節(jié)跳動(dòng)方面向媒體回應(yīng)稱,是在組建相關(guān)團(tuán)隊(duì),在AI芯片領(lǐng)域做一些探索。字節(jié)跳動(dòng)暫未披露自研芯片的具體應(yīng)用場(chǎng)景,結(jié)合其業(yè)務(wù)來(lái)看,旗下抖音、今日頭條等應(yīng)用要在5G時(shí)代繼續(xù)保持內(nèi)容優(yōu)勢(shì),離不開(kāi)數(shù)據(jù)中心和邊緣計(jì)算等服務(wù)器芯片的支持。

產(chǎn)業(yè)要聞

三星手機(jī)在5G速度方面完勝iPhone

近日消息,據(jù)國(guó)外媒體報(bào)道,數(shù)據(jù)公司Opensignal的一份新報(bào)告粗略描繪了美國(guó)市場(chǎng)主流智能手機(jī)在5G網(wǎng)絡(luò)下的速度表現(xiàn),結(jié)論是,蘋果的首批5G iPhone整體下載速度比安卓手機(jī)慢。

雖然 iPhone 12產(chǎn)品線首次將5G帶入 iPhone,但蘋果在5G速度方面仍有待于趕超三星等競(jìng)爭(zhēng)對(duì)手。不過(guò),蘋果用戶的下載速度增長(zhǎng)最快。在5G可用的范圍內(nèi),蘋果用戶的總體下載速度比iPhone用戶的4G下載速度快2.3倍。

然而,并不是所有的5G手機(jī)速度都是一樣的。在他們的測(cè)試中,Opensignal發(fā)現(xiàn)三星的5G速度仍然比iPhone快20% 。以5G網(wǎng)絡(luò)下下載速度而論,三星的新款Galaxy S21 5G以平均56Mbps的5G/4G下載速度名列榜首。

前25名中有60%都是三星手機(jī),當(dāng)然這原因之一也是測(cè)試背景是美國(guó)手機(jī)市場(chǎng),三星品牌在有較大占有率。TCL的Revvl 5G和一加8T+分別以29.8和49.3Mbps的平均速度排名第二和第三。

目前iPhone 12系列使用的是跟安卓手機(jī)們相同的高通5G調(diào)制解調(diào)器,至于為什么iPhone速度較慢,撰寫此報(bào)告并領(lǐng)導(dǎo)Opensignal分析小組的伊恩·福格(Ian Fogg)給出的解釋是,現(xiàn)代手機(jī)中不僅是調(diào)制解調(diào)器對(duì)5G/4G性能會(huì)有影響。例如,三星的Galaxy S21是其第三代5G智能手機(jī),而iPhone 12則是蘋果的第一代產(chǎn)品。因此,蘋果可能需要做一些工作來(lái)加強(qiáng)前端RF設(shè)計(jì)。

不過(guò),蘋果計(jì)劃打破對(duì)高通的依賴,建立自己的5G調(diào)制解調(diào)器。公司最近宣布在德國(guó)建立一個(gè)新的工廠,用于建立和測(cè)試無(wú)線技術(shù),并可能在2023年推出自己的調(diào)制解調(diào)器。(Techweb)

新思科技授權(quán)芯耀輝運(yùn)用新思科技12-28納米工藝技術(shù)

近日消息,芯耀輝科技在其微信公眾號(hào)上發(fā)布消息稱新思科技與芯耀輝在IP產(chǎn)品領(lǐng)域達(dá)成戰(zhàn)略合作伙伴關(guān)系。

新思科技授權(quán)芯耀輝運(yùn)用新思科技12-28納米工藝技術(shù)、適配國(guó)內(nèi)芯片制造工藝的DesignWare? USB、DDR、MIPI、HDMI和PCI Express的系列IP核。芯耀輝在獲得此次新思科技的授權(quán)后,將利用這些經(jīng)過(guò)新思科技硅驗(yàn)證的接口IP核為國(guó)內(nèi)芯片制造公司的工藝提供針對(duì)性的定制、優(yōu)化IP以增強(qiáng)芯片設(shè)計(jì)的自動(dòng)化水平,并提升客戶系統(tǒng)的驗(yàn)證水平,為客戶產(chǎn)品的集成和部署提供加速度。

芯耀輝科技是一家致力于先進(jìn)半導(dǎo)體IP研發(fā)和服務(wù)、賦能芯片設(shè)計(jì)和系統(tǒng)應(yīng)用的高科技公司。通過(guò)自主研發(fā)先進(jìn)工藝芯片IP產(chǎn)品,以響應(yīng)中國(guó)快速發(fā)展的芯片和應(yīng)用需求,全面賦能芯片設(shè)計(jì)。憑借其IP產(chǎn)品的穩(wěn)定性高、兼容性強(qiáng)、跨工藝、可移植等獨(dú)特的價(jià)值和優(yōu)勢(shì),服務(wù)于數(shù)字社會(huì)的各個(gè)重要領(lǐng)域,包括數(shù)據(jù)中心、智能汽車、高性能計(jì)算、5G、物聯(lián)網(wǎng)、人工智能、消費(fèi)電子等。

新思科技長(zhǎng)期以來(lái)一直處于全球電子設(shè)計(jì)自動(dòng)化(EDA)和半導(dǎo)體IP產(chǎn)業(yè)的領(lǐng)先地位,并提供業(yè)界最廣泛的應(yīng)用程序安全測(cè)試工具和服務(wù)組合。無(wú)論您是創(chuàng)建先進(jìn)半導(dǎo)體的片上系統(tǒng)(SoC)的設(shè)計(jì)人員,還是編寫需要更高安全性和質(zhì)量的應(yīng)用程序的軟件開(kāi)發(fā)人員,新思科技都能夠提供您的創(chuàng)新產(chǎn)品所需要的解決方案。(Techweb)

AMD發(fā)布7nm服務(wù)器芯片“米蘭” 以?shī)Z取英特爾更多市場(chǎng)份額

近日消息,據(jù)國(guó)外媒體報(bào)道,當(dāng)?shù)貢r(shí)間周一,芯片制造商AMD發(fā)布了代號(hào)為“米蘭”(Milan)的7nm服務(wù)器芯片,旨在從其競(jìng)爭(zhēng)對(duì)手英特爾手中奪取更多的市場(chǎng)份額。

據(jù)悉,AMD設(shè)計(jì)了這款芯片,并委托臺(tái)積電使用7納米芯片制造工藝來(lái)生產(chǎn)這款芯片。該公司表示,這款芯片的處理速度比目前最好的數(shù)據(jù)中心芯片更快。外媒稱,這款芯片預(yù)計(jì)將推動(dòng)AMD在計(jì)算服務(wù)器市場(chǎng)的銷售。

AMD的“米蘭”服務(wù)器芯片是一款基于Zen 3的處理器,是該公司第二代EPYC服務(wù)器芯片(通常稱為Rome)的后續(xù)產(chǎn)品。據(jù)悉,Rome芯片幫助AMD在服務(wù)器市場(chǎng)獲得了市場(chǎng)份額,而該市場(chǎng)多年來(lái)一直由英特爾主導(dǎo)。

分析師和AMD客戶一致認(rèn)為,新的“米蘭”服務(wù)器芯片對(duì)高性能計(jì)算和數(shù)據(jù)中心服務(wù)器CPU市場(chǎng)領(lǐng)導(dǎo)者英特爾構(gòu)成了強(qiáng)大的競(jìng)爭(zhēng)挑戰(zhàn)。(Techweb)

資本市場(chǎng)動(dòng)態(tài)

一級(jí)市場(chǎng)

半導(dǎo)體及AIOT領(lǐng)域一級(jí)市場(chǎng)融資事件整理如下:

8e5a1450-8932-11eb-8b86-12bb97331649.png

二級(jí)市場(chǎng)

科創(chuàng)板及創(chuàng)業(yè)板半導(dǎo)體及AIOT相關(guān)公司上市狀態(tài)更新如下:

8ed03270-8932-11eb-8b86-12bb97331649.png

編輯:lyn

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 納米技術(shù)
    +關(guān)注

    關(guān)注

    2

    文章

    200

    瀏覽量

    25751
  • 服務(wù)器芯片
    +關(guān)注

    關(guān)注

    2

    文章

    122

    瀏覽量

    19214
  • 5G
    5G
    +關(guān)注

    關(guān)注

    1351

    文章

    48177

    瀏覽量

    560899

原文標(biāo)題:硬創(chuàng)早報(bào):AMD發(fā)布7nm服務(wù)器芯片“米蘭” 以?shī)Z取英特爾更多市場(chǎng)份額;三星手機(jī)在5G速度方面完勝iPhone

文章出處:【微信號(hào):chinabandaoti,微信公眾號(hào):半導(dǎo)體產(chǎn)業(yè)基金】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    思科技7月份行業(yè)事件

    Compiler原生集成,實(shí)現(xiàn)了信號(hào)、電源和熱完整性的優(yōu)化。目前,新思科技正在面向英特爾代工工藝技術(shù)開(kāi)發(fā)IP,提供構(gòu)建多裸晶芯片封裝所需的互連,降低集成風(fēng)險(xiǎn)并加快產(chǎn)品上市時(shí)間。
    的頭像 發(fā)表于 08-12 09:50 ?426次閱讀

    思科技面向臺(tái)積公司先進(jìn)工藝加速下一代芯片創(chuàng)新

    套件賦能可投產(chǎn)的數(shù)字和模擬設(shè)計(jì)流程能夠針對(duì)臺(tái)積公司N3/N3P和N2工藝,助力實(shí)現(xiàn)芯片設(shè)計(jì)成功,并加速模擬設(shè)計(jì)遷移。 新思科技物理驗(yàn)證解決方案已獲得臺(tái)積公司N3P和N2工藝技術(shù)認(rèn)證,可加速全芯片物理簽核。 新
    發(fā)表于 05-11 11:03 ?351次閱讀
    新<b class='flag-5'>思科</b>技面向臺(tái)積公司先進(jìn)<b class='flag-5'>工藝</b>加速下一代芯片創(chuàng)新

    耀LPDDR4x multiPHY產(chǎn)品通過(guò)ISO 26262功能安全產(chǎn)品認(rèn)證

    2024年4月23日,耀科技有限公司(以下簡(jiǎn)稱“耀”)宣布LPDDR4x multiPH
    的頭像 發(fā)表于 04-23 14:30 ?434次閱讀
    <b class='flag-5'>芯</b><b class='flag-5'>耀</b><b class='flag-5'>輝</b>LPDDR4x multiPHY產(chǎn)品通過(guò)ISO 26262功能安全產(chǎn)品認(rèn)證

    思科技攜手英特爾加速Intel 18A工藝下高性能芯片設(shè)計(jì)

    思科技數(shù)字和模擬 EDA 流程經(jīng)過(guò)認(rèn)證和優(yōu)化,針對(duì)Intel 18A工藝實(shí)現(xiàn)功耗、性能和面積目標(biāo)
    的頭像 發(fā)表于 03-05 17:23 ?426次閱讀

    思科技與英特爾深化合作,以新思科技IP和經(jīng)Intel 18A工藝認(rèn)證的EDA流程加速先進(jìn)芯片設(shè)計(jì)

    ?芯片制造商與EDA解決方案和廣泛的IP組合緊密合作, 能夠提升產(chǎn)品性能并加快上市時(shí)間 摘要: 新思科技數(shù)字和模擬EDA流程經(jīng)過(guò)認(rèn)證和優(yōu)化,針對(duì)Intel 18A工藝實(shí)現(xiàn)功耗、性能和面積目標(biāo)
    發(fā)表于 03-05 10:16 ?265次閱讀

    思科技將以350億美元收購(gòu)Ansys

    思科技(Synopsys)與Ansys兩家業(yè)界巨頭近日宣布,新思科技將以350億美元的價(jià)格收購(gòu)Ansys。這一并購(gòu)計(jì)劃旨在推動(dòng)兩家公司在芯片到系統(tǒng)設(shè)計(jì)解決方案領(lǐng)域的全球領(lǐng)導(dǎo)地位。
    的頭像 發(fā)表于 01-17 14:53 ?644次閱讀

    耀DDR PHY訓(xùn)練技術(shù)簡(jiǎn)介

    DDR接口速率越來(lái)越高,每一代產(chǎn)品都在挑戰(zhàn)工藝的極限,對(duì)DDR PHY的訓(xùn)練要求也越來(lái)越嚴(yán)格。本文從新銳IP企業(yè)耀的角度,談?wù)凞DR PHY訓(xùn)練所面臨的挑戰(zhàn),介紹
    的頭像 發(fā)表于 01-05 10:27 ?1247次閱讀
    <b class='flag-5'>芯</b><b class='flag-5'>耀</b><b class='flag-5'>輝</b>DDR PHY訓(xùn)練<b class='flag-5'>技術(shù)</b>簡(jiǎn)介

    思科路由器型號(hào)怎么查

    找到所有的思科路由器型號(hào),包括詳細(xì)的規(guī)格、功能和技術(shù)參數(shù)。你可以在思科官方網(wǎng)站的產(chǎn)品頁(yè)面選擇路由器類別,并根據(jù)需求選擇合適的型號(hào)。 在線技術(shù)論壇:除了
    的頭像 發(fā)表于 12-15 11:07 ?1329次閱讀

    耀將繼續(xù)在半導(dǎo)體接口IP領(lǐng)域創(chuàng)新發(fā)展

    北京,12月1日——由中國(guó)科技產(chǎn)業(yè)智庫(kù)「甲子光年」主辦的「2023甲子引力年終盛典:致追風(fēng)趕月的你」在北京隆重舉辦,耀憑借其在半導(dǎo)體接口IP領(lǐng)域的卓越表現(xiàn)和領(lǐng)導(dǎo)地位,榮獲了「光年2
    的頭像 發(fā)表于 12-04 13:32 ?553次閱讀

    思科技可互操作工藝設(shè)計(jì)套件助力開(kāi)發(fā)者快速上手模擬設(shè)計(jì)

    思科技AI驅(qū)動(dòng)的設(shè)計(jì)解決方案可實(shí)現(xiàn)電路優(yōu)化,在提高設(shè)計(jì)質(zhì)量的同時(shí),節(jié)省數(shù)周的手動(dòng)迭代時(shí)間 新思科技可互操作工藝設(shè)計(jì)套件(iPDK)適用于臺(tái)積公司所有FinFET先進(jìn)工藝節(jié)點(diǎn)的,助力開(kāi)
    的頭像 發(fā)表于 11-09 10:59 ?742次閱讀

    思科技面向臺(tái)積公司N5A工藝技術(shù)推出領(lǐng)先的廣泛車規(guī)級(jí)IP組合

    思科技(Synopsys, Inc.)近日宣布,面向臺(tái)積公司N5A工藝推出業(yè)界領(lǐng)先的廣泛車規(guī)級(jí)接口IP和基礎(chǔ)IP產(chǎn)品組合,攜手臺(tái)積公司推動(dòng)下一代“軟件定義汽車”發(fā)展,滿足汽車系統(tǒng)級(jí)芯片(SoC)的長(zhǎng)期可靠性和高性能計(jì)算需求。
    的頭像 發(fā)表于 10-24 17:24 ?752次閱讀

    思科技攜手臺(tái)積公司加速N2工藝下的SoC創(chuàng)新

    思科技近日宣布,其數(shù)字和定制/模擬設(shè)計(jì)流程已通過(guò)臺(tái)積公司N2工藝技術(shù)認(rèn)證,能夠幫助采用先進(jìn)工藝節(jié)點(diǎn)的SoC實(shí)現(xiàn)更快、更高質(zhì)量的交付。新思科技這兩類芯片設(shè)計(jì)流程的發(fā)展勢(shì)頭強(qiáng)勁,其中數(shù)字
    的頭像 發(fā)表于 10-24 16:42 ?698次閱讀

    思科技提供跨臺(tái)積公司先進(jìn)工藝的參考流程,助力加速模擬設(shè)計(jì)遷移

    設(shè)計(jì)質(zhì)量的同時(shí),節(jié)省數(shù)周的手動(dòng)迭代時(shí)間。 新思科技可互操作工藝設(shè)計(jì)套件(iPDK)適用于臺(tái)積公司所有FinFET先進(jìn)工藝節(jié)點(diǎn),助力開(kāi)發(fā)者快速上手模擬設(shè)計(jì)。 新思科技攜手Ansys 和
    發(fā)表于 10-24 11:41 ?363次閱讀

    思科技面向臺(tái)積公司N5A工藝技術(shù)推出業(yè)內(nèi)領(lǐng)先的廣泛車規(guī)級(jí)IP組合

    思科技接口和基礎(chǔ) IP 組合已獲多家全球領(lǐng)先企業(yè)采用,可為 ADAS 系統(tǒng)級(jí)芯片提供高可靠性保障 摘要: 面向臺(tái)積公司N5A工藝的新思科技IP產(chǎn)品在汽車溫度等級(jí)2級(jí)下符合 AEC-Q100 認(rèn)證
    發(fā)表于 10-23 15:54 ?1373次閱讀

    思科技設(shè)備在臺(tái)積電流片2nm芯片

    《半導(dǎo)體科技》編譯 來(lái)源:EENEWS EUROPE 新思科技(Synopsys)表示,其客戶已在臺(tái)積電2nm工藝上流片了多款芯片,同時(shí)對(duì)模擬和數(shù)字設(shè)計(jì)流程進(jìn)行了認(rèn)證。 新思科技表示
    的頭像 發(fā)表于 10-08 16:49 ?411次閱讀