0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

全球半導(dǎo)體先進(jìn)制程之戰(zhàn)已然火花四射

電子工程師 ? 來源:吳老師 ? 作者:吳老師 ? 2021-04-01 18:04 ? 次閱讀

2020年伊始,全球半導(dǎo)體先進(jìn)制程之戰(zhàn)已然火花四射。從華為和蘋果打響7nm旗艦手機(jī)芯片第一槍開始,7nm芯片產(chǎn)品已是百花齊放之勢,5nm芯片也將在下半年正式首秀。這些逐漸縮小的芯片制程數(shù)字,正是全球電子產(chǎn)品整體性能不斷進(jìn)化的核心驅(qū)動力。

通往更先進(jìn)制程的道路猶如攀登高峰,極高的技術(shù)難度和研發(fā)成本將大多數(shù)芯片選手?jǐn)r在半山腰,目前全球唯有臺積電、英特爾三星還在向峰頂沖刺。三星成功研發(fā)3nm芯片,臺積電3nm芯片晶體管密度達(dá)2.5億/mm2,英特爾官宣制程回歸。

39059fea-8f51-11eb-8b86-12bb97331649.png

在全球備戰(zhàn)更先進(jìn)制程的關(guān)鍵節(jié)點,本文圍繞晶體管五大關(guān)鍵環(huán)節(jié),探討先進(jìn)制程沖刺戰(zhàn)中的核心技術(shù)及玩家格局。

芯片制程描述的是芯片晶體管柵極寬度的大小,納米數(shù)字越小,晶體管密度越大,芯片性能就越高。

各家對制程工藝的命名法則不同,在相同納米制程下,并不能對各制程技術(shù)做直觀比較。比如英特爾10nm的晶體管密度與三星7nm、臺積電7nm的晶體管密度相當(dāng)。

從制程進(jìn)展來看,一邊是三星臺積電在5nm/3nm等制程上你追我趕,另一邊是英特爾循序漸進(jìn)地走向7nm。

5nm方面,臺積電已經(jīng)拿到蘋果和華為的手機(jī)芯片訂單。三星的5nm制程相對落后,正在與谷歌合作開發(fā)Exynos芯片組,將搭載于谷歌的Chrome OS設(shè)備、Pixel智能手機(jī)甚至中心數(shù)據(jù)服務(wù)器中。

3nm方面,臺積電預(yù)計2021年開始試生產(chǎn),2022年開始量產(chǎn)。三星原計劃2021年量產(chǎn)3nm工藝,但受當(dāng)前疫情影響,不量產(chǎn)時間可能會推遲。

為什么挺進(jìn)先進(jìn)制程的玩家選手屈指可數(shù)呢?主要源于兩大門檻:資本和技術(shù)。制程工藝的研發(fā)和生產(chǎn)成本呈指數(shù)上漲,單從資金數(shù)目來看,很多中小型晶圓廠就玩不起。

更高的研發(fā)和生產(chǎn)對應(yīng)的是更難的技術(shù)挑戰(zhàn)。每當(dāng)制程工藝逼近物理極限,芯片性能天花板就取決于晶體管結(jié)構(gòu)、光刻、沉積、刻蝕、檢測、封裝等技術(shù)的創(chuàng)新與協(xié)同配合。 晶體管在芯片中起到開關(guān)作用,通過影響相互的狀態(tài)傳遞信息。

幾十年來,基于平面Planar晶體管芯片一直是市場熱銷設(shè)備。然而制程技術(shù)發(fā)展到后期,平面晶體管開始遇到漏極源極間距過近的瓶頸。3D鰭式場效晶體管(FinFET)成為延續(xù)摩爾定律的革命性技術(shù),為工藝技術(shù)創(chuàng)新做出了核心貢獻(xiàn)。 2011年,英特爾轉(zhuǎn)向22nm FinFET。相比平面晶體管,F(xiàn)inFET在工藝節(jié)點減小時,電壓縮放、切換速度和電流密度均顯著提升。 FinFET已經(jīng)歷兩個工藝世代,臺積電5nm FinFET晶體管工藝的芯片也將在下半年問世。 隨著深寬比不斷拉高,F(xiàn)inFET也逼近了物理極限,為了制造出密度更高的芯片,環(huán)繞式柵極晶體管(GAAFET,Gate-All-Ground FET)成為新的技術(shù)選擇。不同于FinFET,GAAFET的溝道被柵極包圍,溝道電流比FinFET更加順暢,能進(jìn)一步改善對電流的控制,從而優(yōu)化柵極長度的微縮。 三星名為多橋通道FET(MBCFET,Multi-Bridge Channel FET)的GAA技術(shù),用納米片替換納米線周圍的柵極,實現(xiàn)每堆更大的電流。

與現(xiàn)有GAAFET不一樣的是,在forksheet FET中,nFET和pFET都集成在同一結(jié)構(gòu)中,間距小并減少密集縮放,forksheet具有的接觸柵極間距均低于Nanosheet 的接觸柵極間距。 Complementary FET(CFET)是另一種類型的GAA器件,由兩個單獨的FET組成,消除了n-p分離的瓶頸,減少電池有效面積。 英特爾的3nm也將采用CFET。但CFET及相關(guān)的晶體管存在散熱等問題,需要在各環(huán)節(jié)更新技術(shù)和設(shè)備。

3ae05a1c-8f51-11eb-8b86-12bb97331649.jpg

雕刻電路圖案的核心制造設(shè)備是光刻機(jī),它的精度決定了制程的精度。光刻機(jī)的運(yùn)作原理是先把設(shè)計好的芯片圖案印在掩膜上,用激光穿過掩膜和光學(xué)鏡片,將芯片圖案曝光在帶有光刻膠涂層的硅片上,涂層被激光照到之處則溶解,沒有被照到之處保持不變,掩膜上的圖案就被雕刻到芯片光刻膠涂層上。

3b20ba1c-8f51-11eb-8b86-12bb97331649.jpg

目前193nm浸沒式光刻是最成熟、應(yīng)用最廣的技術(shù),等到7nm及更先進(jìn)的技術(shù)節(jié)點時,則需要波長更短的極紫外(EUV)光刻技術(shù)來實現(xiàn)制程。

3b75f7fc-8f51-11eb-8b86-12bb97331649.png

Imec和ASML成立了聯(lián)合研究實驗室,專注于3nm節(jié)點的元件制造藍(lán)圖,根據(jù)ASML年報,他們將采用high-NA技術(shù)研發(fā)下一代極紫外光刻機(jī),產(chǎn)品將有更高的分辨率、數(shù)值孔徑和覆蓋能力。值得一提的是,英特爾與ASML的光刻機(jī)設(shè)備的量產(chǎn)時間相吻合,大約在2024年前后。

Imec重點投入的研發(fā)領(lǐng)域包括光罩的防塵薄膜技術(shù)、光阻技術(shù)、工藝優(yōu)化。一方面,更高的光阻劑往往會增加缺陷率,另一方面,光罩防塵薄膜發(fā)展相對緩慢。 為了將微電子器件造的更小,必須把越來越多的電路放進(jìn)更小的薄膜結(jié)構(gòu)中,與半導(dǎo)體工藝兼容的刻蝕和沉積技術(shù)也需要隨著提升。在硅片襯底上生成特定薄膜層的工藝就是薄膜沉積,所沉積的薄膜可以是導(dǎo)體、絕緣材料或半導(dǎo)體材料??涛g機(jī)根據(jù)印上去的圖案刻蝕,留下剩余的部分,芯片圖案就可以從光刻膠涂層轉(zhuǎn)移到了硅片上。

將材料以單原子膜形式一層一層的鍍在襯底表面就是所謂的原子層沉積(ALD)技術(shù)可將,選擇性沉積是一種先進(jìn)的自對準(zhǔn)圖案化技術(shù),將化學(xué)方法與MLD工具結(jié)合在一起,可減少流程中的光刻和刻蝕步驟。從理論上講,選擇性沉積可用于沉積金屬或沉積電介質(zhì)。不過目前區(qū)域選擇性沉積仍存在一定挑戰(zhàn),有待持續(xù)研發(fā)。

嵌段共聚物視是生產(chǎn)緊密圖案化表面的一種方式。嵌段共聚物將性質(zhì)不同的聚合物鏈段連在一起,制成一種線型聚合物,得到性能更為優(yōu)越的聚合物材料。這種刻蝕技術(shù)可以選擇性去除MLD層,不會影響到附近的ALD層,精確控制了納米級材料的幾何形狀。

3cc6ee9a-8f51-11eb-8b86-12bb97331649.png

芯片進(jìn)入量產(chǎn)前需要對芯片進(jìn)行檢測,就是使用各種系統(tǒng)來查找芯片的缺陷。晶圓檢測分為兩類:光學(xué)和電子束。光學(xué)檢查速度快,但分辨率受限;電子束檢測分辨率更好,但速度偏慢。 因此很多公司均在開發(fā)多光束電子束檢測系統(tǒng),最好能以較高的速度發(fā)現(xiàn)最不顯眼的缺陷。ASML開發(fā)了一種具有9條光束的電子束檢測工具。 芯片制造商還使用各種量測系統(tǒng)來測量芯片結(jié)構(gòu)。微距量測掃描式電子顯微鏡(CD-SEM)進(jìn)行自上而下的量測,光學(xué)CD系統(tǒng)則使用偏振光來表征結(jié)構(gòu)。 被稱為臨界尺寸小角X射線散射(CD-SAXS)的X射線量測技術(shù)是一種無損量測技術(shù),使用小光束尺寸的可變角度透射散射來量測,其優(yōu)點是能提供更高的分辨率,避免了OCD參數(shù)相關(guān)性問題,且計算更加簡單。但X射線是由R&D的大型同步加速器存儲環(huán)產(chǎn)生的,這對晶圓廠來說很不切實際。CD-SAXS需要緊湊的X射線源,問題在于X射線源有限且速度慢,影響吞吐量,其成本也是一個問題,該技術(shù)仍處于概念階段,X射線強(qiáng)度還將面臨挑戰(zhàn)。 封裝技術(shù)能讓內(nèi)存更接近邏輯處理單元,提升信號傳輸速率和互聯(lián)密度。傳統(tǒng)方法是縮小節(jié)點上不同的芯片功能,并將它們封裝到一個單片芯片上。通過封裝可以低功耗并增加內(nèi)存帶寬。在研發(fā)先進(jìn)的封裝技術(shù),以增加晶體管速度,從而提高整個系統(tǒng)性能的道路上,英特爾主推EMIB工藝,臺積電主推CoWoS工藝,三星主推FOPLP。

3d1b64d4-8f51-11eb-8b86-12bb97331649.png

小芯片chipset是一種實現(xiàn)異構(gòu)集成的新形式,通過在特定空間堆疊多種芯片,實現(xiàn)更快的開發(fā)速度和更高的計算力。臺積電采用COWOS封裝技術(shù)和LIPINCON互連技術(shù),將大型多核設(shè)計劃分成多個小芯片,實現(xiàn)更高的良率和更好的經(jīng)濟(jì)性。英特爾將不同IP、不同工藝的方案封裝在一起,從而省去漫長的再制作過程。

3d58e638-8f51-11eb-8b86-12bb97331649.png

隨著摩爾定律的推進(jìn)節(jié)奏逐漸趨緩,半導(dǎo)體制程的不斷發(fā)展,想要延續(xù)摩爾定律的生命力需要技術(shù)和設(shè)備的創(chuàng)新突破。半導(dǎo)體行業(yè)大約每隔20年,就會有新的危機(jī)出現(xiàn),20年前,大家一度非常悲觀,看不清如何才能將芯片做得更好。如今半導(dǎo)體行業(yè)到了20年周期的危機(jī)循環(huán)節(jié)點,誰都不知道未來半導(dǎo)體行業(yè)的創(chuàng)新發(fā)展路在何方? 這個問題的答案,也許藏在5G、AI等新興技術(shù)里,也許藏在半導(dǎo)體的新模式、器件和技術(shù)里,半導(dǎo)體行業(yè)在不斷探索前行。無論未來誰是創(chuàng)新風(fēng)暴的引領(lǐng)者,最終受益的都是享用更高性能電子產(chǎn)品的每一個人。

史上最全的半導(dǎo)體產(chǎn)業(yè)鏈全景!

來源:ittbank

集成電路作為半導(dǎo)體產(chǎn)業(yè)的核心,市場份額達(dá)83%,由于其技術(shù)復(fù)雜性,產(chǎn)業(yè)結(jié)構(gòu)高度專業(yè)化。隨著產(chǎn)業(yè)規(guī)模的迅速擴(kuò)張,產(chǎn)業(yè)競爭加劇,分工模式進(jìn)一步細(xì)化。目前市場產(chǎn)業(yè)鏈為IC設(shè)計、IC制造和IC封裝測試。

在核心環(huán)節(jié)中,IC設(shè)計處于產(chǎn)業(yè)鏈上游,IC制造為中游環(huán)節(jié),IC封裝為下游環(huán)節(jié)。

全球集成電路產(chǎn)業(yè)的產(chǎn)業(yè)轉(zhuǎn)移,由封裝測試環(huán)節(jié)轉(zhuǎn)移到制造環(huán)節(jié),產(chǎn)業(yè)鏈里的每個環(huán)節(jié)由此而分工明確。

由原來的IDM為主逐漸轉(zhuǎn)變?yōu)镕abless+Foundry+OSAT。

3dbb1628-8f51-11eb-8b86-12bb97331649.jpg

3e2c58b0-8f51-11eb-8b86-12bb97331649.jpg

3e8fe416-8f51-11eb-8b86-12bb97331649.jpg

3f06fb6e-8f51-11eb-8b86-12bb97331649.jpg

3f9621c2-8f51-11eb-8b86-12bb97331649.jpg

3fecb960-8f51-11eb-8b86-12bb97331649.jpg

406eac68-8f51-11eb-8b86-12bb97331649.jpg

40de3c18-8f51-11eb-8b86-12bb97331649.png

▲全球半導(dǎo)體產(chǎn)業(yè)鏈?zhǔn)杖霕?gòu)成占比圖

1設(shè)計:細(xì)分領(lǐng)域具備亮點,核心關(guān)鍵領(lǐng)域設(shè)計能力不足。從應(yīng)用類別(如:手機(jī)到汽車)到芯片項目(如:處理器FPGA),國內(nèi)在高端關(guān)鍵芯片自給率幾近為0,仍高度仰賴美國企業(yè);

2設(shè)備:自給率低,需求缺口較大,當(dāng)前在中端設(shè)備實現(xiàn)突破,初步產(chǎn)業(yè)鏈成套布局,但高端制程/產(chǎn)品仍需攻克。中國本土半導(dǎo)體設(shè)備廠商只占全球份額的1-2%,在關(guān)鍵領(lǐng)域如:沉積、刻蝕、離子注入、檢測等,仍高度仰賴美國企業(yè);

3材料:在靶材等領(lǐng)域已經(jīng)比肩國際水平,但在光刻膠等高端領(lǐng)域仍需較長時間實現(xiàn)國產(chǎn)替代。全球半導(dǎo)體材料市場規(guī)模443 億美金,晶圓制造材料供應(yīng)中國占比10%以下,部分封裝材料供應(yīng)占比在30%以上。在部分細(xì)分領(lǐng)域上比肩國際領(lǐng)先,高端領(lǐng)域仍未實現(xiàn)突破;

4制造:全球市場集中,臺積電占據(jù)60%的份額,受貿(mào)易戰(zhàn)影響相對較低。大陸躋身第二集團(tuán),全球產(chǎn)能擴(kuò)充集中在大陸地區(qū)。代工業(yè)呈現(xiàn)非常明顯的頭部效應(yīng),在全球前十大代工廠商中,臺積電一家占據(jù)了60%的市場份額。此行業(yè)較不受貿(mào)易戰(zhàn)影響;

5封測:最先能實現(xiàn)自主可控的領(lǐng)域。封測行業(yè)國內(nèi)企業(yè)整體實力不俗,在世界擁有較強(qiáng)競爭力,長電+華天+通富三家17 年全球整體市占率達(dá)19%,美國主要的競爭對手僅為Amkor。此行業(yè)較不受貿(mào)易戰(zhàn)影響。

一、設(shè)計

按地域來看,當(dāng)前全球IC 設(shè)計仍以美國為主導(dǎo),中國大陸是重要參與者。2017 年美國IC設(shè)計公司占據(jù)了全球約53%的最大份額,IC Insight 預(yù)計,新博通將總部全部搬到美國后這一份額將攀升至69%左右。臺灣地區(qū)IC 設(shè)計公司在2017 年的總銷售額中占16%,與2010年持平。聯(lián)發(fā)科、聯(lián)詠和瑞昱去年的IC 銷售額都超過了10 億美元,而且都躋身全球前二十大IC 設(shè)計公司之列。歐洲IC 設(shè)計企業(yè)只占了全球市場份額的2%,日韓地區(qū)Fabless 模式并不流行。

與非美國海外地區(qū)相比,中國公司表現(xiàn)突出。世界前50 fabless IC 設(shè)計公司中,中國公司數(shù)量明顯上漲,從2009 年1 家增加至2017 年10 家,呈現(xiàn)迅速追趕之勢。2017 年全球前十大Fabless IC 廠商中,美國占據(jù)7 席,包括高通、英偉達(dá)、蘋果、AMD、Marvell、博通、賽靈思;中國臺灣地區(qū)聯(lián)發(fā)科上榜,大陸地區(qū)海思和紫光上榜,分別排名第7 和第10。

2017 年全球前十大Fables s IC 設(shè)計廠商(百萬美元)

414c20b6-8f51-11eb-8b86-12bb97331649.jpg

然而,盡管大陸地區(qū)海思和紫光上榜,但可以看到的是,高通、博通和美滿電子在中國區(qū)營收占比達(dá)50%以上,國內(nèi)高端 IC 設(shè)計能力嚴(yán)重不足。可以看出,國內(nèi)對于美國公司在核心芯片設(shè)計領(lǐng)域的依賴程度較高。

419a03d0-8f51-11eb-8b86-12bb97331649.jpg

自中美貿(mào)易戰(zhàn)打響后,通過“中興事件”和“華為事件”我們可以清晰的看到,核心的高端通用型芯片領(lǐng)域,國內(nèi)的設(shè)計公司可提供的產(chǎn)品幾乎為0。

4213a212-8f51-11eb-8b86-12bb97331649.jpg

大陸高端通用芯片與國外先進(jìn)水平差距主要體現(xiàn)在四個方面:

1)移動處理器的國內(nèi)外差距相對較小。

紫光展銳、華為海思等在移動處理器方面已進(jìn)入全球前列。

2)中央處理器(CPU) 是追趕難度最大的高端芯片。

英特爾幾乎壟斷了全球市場,國內(nèi)相關(guān)企業(yè)約有 3-5 家,但都沒有實現(xiàn)商業(yè)量產(chǎn),多仍然依靠申請科研項目經(jīng)費(fèi)和政府補(bǔ)貼維持運(yùn)轉(zhuǎn)。龍芯等國內(nèi) CPU 設(shè)計企業(yè)雖然能夠做出 CPU 產(chǎn)品,而且在單一或部分指標(biāo)上可能超越國外 CPU,但由于缺乏產(chǎn)業(yè)生態(tài)支撐,還無法與占主導(dǎo)地位的產(chǎn)品競爭。

3)存儲器國內(nèi)外差距同樣較大。

目前全球存儲芯片主要有三類產(chǎn)品,根據(jù)銷售額大小依次為:DRAM、NAND Flash 以及Nor Flash。在內(nèi)存和閃存領(lǐng)域中,IDM 廠韓國三星和海力士擁有絕對的優(yōu)勢,截止到2017年,在兩大領(lǐng)域合計市場份額分別為75.7%和49.1%,中國廠商競爭空間極為有限,武漢長江存儲試圖發(fā)展 3D Nand Flash(閃存)的技術(shù),但目前僅處于 32 層閃存樣品階段,而三星、英特爾等全球龍頭企業(yè)已開始陸續(xù)量產(chǎn) 64 層閃存產(chǎn)品;在Nor flash 這個約為三四十億美元的小市場中,兆易創(chuàng)新是世界主要參與廠家之一,其他主流供貨廠家為臺灣旺宏,美國Cypress,美國美光,臺灣華邦。

4)FPGA、AD/DA 等高端通用型芯片,國內(nèi)外技術(shù)懸殊。

這些領(lǐng)域由于都是屬于通用型芯片,具有研發(fā)投入大,生命周期長,較難在短期聚集起經(jīng)濟(jì)效益,因此在國內(nèi)公司層面發(fā)展較為緩慢,甚至有些領(lǐng)域是停滯的。

428fa8f8-8f51-11eb-8b86-12bb97331649.jpg

總的來看,芯片設(shè)計的上市公司,都是在細(xì)分領(lǐng)域的國內(nèi)最強(qiáng)。比如2017 年匯頂科技在指紋識別芯片領(lǐng)域超越FPC 成為全球安卓陣營最大指紋IC 提供商,成為國產(chǎn)設(shè)計芯片在消費(fèi)電子細(xì)分領(lǐng)域少有的全球第一。士蘭微從集成電路芯片設(shè)計業(yè)務(wù)開始,逐步搭建了芯片制造平臺,并已將技術(shù)和制造平臺延伸至功率器件、功率模塊MEMS 傳感器的封裝領(lǐng)域。但與國際半導(dǎo)體大廠相比,不管是高端芯片設(shè)計能力,還是規(guī)模、盈利水平等方面仍有非常大的追趕空間。

42e427d4-8f51-11eb-8b86-12bb97331649.jpg

43623b88-8f51-11eb-8b86-12bb97331649.jpg

二、設(shè)備

目前,我國半導(dǎo)體設(shè)備的現(xiàn)況是低端制程實現(xiàn)國產(chǎn)替代,高端制程有待突破,設(shè)備自給率低、需求缺口較大。

關(guān)鍵設(shè)備技術(shù)壁壘高,美日技術(shù)領(lǐng)先,CR10 份額接近80%,呈現(xiàn)寡頭壟斷局面。半導(dǎo)體設(shè)備處于產(chǎn)業(yè)鏈上游,貫穿半導(dǎo)體生產(chǎn)的各個環(huán)節(jié)。按照工藝流程可以分為四大板塊——晶圓制造設(shè)備、測試設(shè)備、封裝設(shè)備、前端相關(guān)設(shè)備。其中晶圓制造設(shè)備占據(jù)了中國市場70%的份額。再具體來說,晶圓制造設(shè)備根據(jù)制程可以主要分為8 大類,其中光刻機(jī)、刻蝕機(jī)和 薄膜沉積設(shè)備這三大類設(shè)備占據(jù)大部分的半導(dǎo)體設(shè)備市場。同時設(shè)備市場高度集中,光刻機(jī)、CVD 設(shè)備、刻蝕機(jī)、PVD 設(shè)備的產(chǎn)出均集中于少數(shù)歐美日本巨頭企業(yè)手上。

43a8726a-8f51-11eb-8b86-12bb97331649.jpg

44016a00-8f51-11eb-8b86-12bb97331649.jpg

中國半導(dǎo)體設(shè)備國產(chǎn)化率低,本土半導(dǎo)體設(shè)備廠商市占率僅占全球份額的1-2%。

4465be42-8f51-11eb-8b86-12bb97331649.jpg

46c21bb8-8f51-11eb-8b86-12bb97331649.jpg

關(guān)鍵設(shè)備在先進(jìn)制程上仍未實現(xiàn)突破。目前世界集成電路設(shè)備研發(fā)水平處于12 英寸7nm,生產(chǎn)水平則已經(jīng)達(dá)到12 英寸14nm;而中國設(shè)備研發(fā)水平還處于12 英寸14nm,生產(chǎn)水平為12 英寸65-28nm,總的來看國產(chǎn)設(shè)備在先進(jìn)制程上與國內(nèi)先進(jìn)水平有2-6 年時間差;具體來看65/55/40/28nm 光刻機(jī)、40/28nm 的化學(xué)機(jī)械拋光機(jī)國產(chǎn)化率依然為0,28nm化學(xué)氣相沉積設(shè)備、快速退火設(shè)備、國產(chǎn)化率很低。

三、材料 半導(dǎo)體材料發(fā)展歷程

472c2f62-8f51-11eb-8b86-12bb97331649.jpg

Si:主要應(yīng)用于集成電路的晶圓片和功率器件;

GaAs:主要應(yīng)用于大功率發(fā)光電子器件和射頻器件;

GaN:主要應(yīng)用于光電器件微波通信器件;

SiC:主要應(yīng)用于功率器件

47fd9476-8f51-11eb-8b86-12bb97331649.jpg

▲各代代表性材料主要應(yīng)用

4859ba26-8f51-11eb-8b86-12bb97331649.jpg

▲第二、三代半導(dǎo)體材料技術(shù)成熟度

細(xì)分領(lǐng)域已經(jīng)實現(xiàn)彎道超車,核心領(lǐng)域仍未實現(xiàn)突破,半導(dǎo)體材料主要分為晶圓制造材料和封裝材料兩大塊。晶圓制造材料中,硅片機(jī)硅基材料最高占比31%,其次依次為光掩模版14%、光刻膠5%及其光刻膠配套試劑7%。封裝材料中,封裝基板占比最高,為40%,其次依次為引線框架16%,陶瓷基板11%,鍵合線15%。

日美德在全球半導(dǎo)體材料供應(yīng)上占主導(dǎo)地位。各細(xì)分領(lǐng)域主要玩家有:硅片——Shin-Etsu、Sumco,光刻膠——TOK、Shipley,電子氣體——Air Liquid、Praxair,CMP——DOW、3M,引線架構(gòu)——住友金屬,鍵合線——田中貴金屬、封裝基板——松下電工,塑封料——住友電木。

48b33fd8-8f51-11eb-8b86-12bb97331649.png

4907e0e2-8f51-11eb-8b86-12bb97331649.jpg

(1)靶材、封裝基板、CMP 等,我國技術(shù)已經(jīng)比肩國際先進(jìn)水平的、實現(xiàn)大批量供貨、可以立刻實現(xiàn)國產(chǎn)化。已經(jīng)實現(xiàn)國產(chǎn)化的半導(dǎo)體材料典例——靶材。

(2)硅片、電子氣體、掩模板等,技術(shù)比肩國際、但仍未大批量供貨的產(chǎn)品。

(3)光刻膠,技術(shù)仍未實現(xiàn)突破,仍需要較長時間實現(xiàn)國產(chǎn)替代。

四、制造

晶圓制造環(huán)節(jié)作為半導(dǎo)體產(chǎn)業(yè)鏈中至關(guān)重要的工序,制造工藝高低直接影響半導(dǎo)體產(chǎn)業(yè)先進(jìn)程度。過去二十年內(nèi)國內(nèi)晶圓制造環(huán)節(jié)發(fā)展較為滯后,未來在國家政策和大基金的支持之下有望進(jìn)行快速追趕,將有效提振整個半導(dǎo)體行業(yè)鏈的技術(shù)密度。

半導(dǎo)體制造在半導(dǎo)體產(chǎn)業(yè)鏈里具有卡口地位。制造是產(chǎn)業(yè)鏈里的核心環(huán)節(jié),地位的重要性不言而喻。統(tǒng)計行業(yè)里各個環(huán)節(jié)的價值量,制造環(huán)節(jié)的價值量最大,同時毛利率也處于行業(yè)較高水平,因為Fabless+Foundry+OSAT 的模式成為趨勢,F(xiàn)oundry 在整個產(chǎn)業(yè)鏈中的重要程度也逐步提升,可以這么認(rèn)為,F(xiàn)oundry 是一個卡口,產(chǎn)能的輸出都由制造企業(yè)所掌控。

代工業(yè)呈現(xiàn)非常明顯的頭部效應(yīng) 根據(jù)IC Insights 的數(shù)據(jù)顯示,在全球前十大代工廠商中,臺積電一家占據(jù)了超過一半的市場份額,2017 年前八家市場份額接近90%,同時代工主要集中在東亞地區(qū),美國很少有此類型的公司,這也和產(chǎn)業(yè)轉(zhuǎn)移和產(chǎn)業(yè)分工有關(guān)。我們認(rèn)為,中國大陸通過資本投資和人才集聚,是有可能在未來十年實現(xiàn)代工超越的。

49436dec-8f51-11eb-8b86-12bb97331649.jpg

4982b48e-8f51-11eb-8b86-12bb97331649.jpg

“中國制造”要從下游往上游延伸,在技術(shù)轉(zhuǎn)移路線上,半導(dǎo)體制造是“中國制造”尚未攻克的技術(shù)堡壘。中國是個“制造大國”,但“中國制造”主要都是整機(jī)產(chǎn)品,在最上游的“芯片制造”領(lǐng)域,中國還和國際領(lǐng)先水平有很大差距。在從下游的制造向“芯片制造”轉(zhuǎn)移過程中,一定要涌現(xiàn)出一批技術(shù)領(lǐng)先的晶圓代工企業(yè)。在芯片貿(mào)易戰(zhàn)打響之時,美國對我國制造業(yè)技術(shù)封鎖和打壓首當(dāng)其沖,我們在努力傳承“兩彈一星”精神,自力更生艱苦創(chuàng)業(yè)的同時,如何處理與臺灣地區(qū)先進(jìn)企業(yè)臺積電、聯(lián)電之間的關(guān)系也會對后續(xù)發(fā)展產(chǎn)生較大的蝴蝶效應(yīng)。

五、封測

當(dāng)前大陸地區(qū)半導(dǎo)體產(chǎn)業(yè)在封測行業(yè)影響力為最強(qiáng),市場占有率十分優(yōu)秀,龍頭企業(yè)長電科技/通富微電/華天科技/晶方科技市場規(guī)模不斷提升,對比臺灣地區(qū)公司,大陸封測行業(yè)整體增長潛力已不落下風(fēng),臺灣地區(qū)知名IC 設(shè)計公司聯(lián)發(fā)科、聯(lián)詠、瑞昱等企業(yè)已經(jīng)將本地封測訂單逐步轉(zhuǎn)向大陸同業(yè)公司。封測行業(yè)呈現(xiàn)出臺灣地區(qū)、美國、大陸地區(qū)三足鼎立之態(tài),其中長電科技/通富微電/華天科技已通過資本并購運(yùn)作,市場占有率躋身全球前十(長電科技市場規(guī)模位列全球第三),先進(jìn)封裝技術(shù)水平和海外龍頭企業(yè)基本同步,BGA、WLP、SiP 等先進(jìn)封裝技術(shù)均能順利量產(chǎn)。

封測行業(yè)我國大陸企業(yè)整體實力不俗,在世界擁有較強(qiáng)競爭力,美國主要的競爭對手為Amkor 公司,在華業(yè)務(wù)營收占比約為18%,封測行業(yè)美國市場份額一般,前十大封測廠商中,僅有Amkor 公司一家,應(yīng)該說貿(mào)易戰(zhàn)對封測整體行業(yè)影響較小,從短中長期而言,Amkor 公司業(yè)務(wù)取代的可能性較高。

49bb11e4-8f51-11eb-8b86-12bb97331649.jpg

封測行業(yè)位于半導(dǎo)體產(chǎn)業(yè)鏈末端,其附加價值較低,勞動密集度高,進(jìn)入技術(shù)壁壘較低,封測龍頭日月光每年的研發(fā)費(fèi)用占收入比例約為4%左右,遠(yuǎn)低于半導(dǎo)體IC 設(shè)計、設(shè)備和制造的世界龍頭公司。隨著晶圓代工廠臺積電向下游封測行業(yè)擴(kuò)張,也會對傳統(tǒng)封測企業(yè)會構(gòu)成較大的威脅。

2017-2018 年以后,大陸地區(qū)封測(OSAT)業(yè)者將維持快速成長,目前長電科技/通富微電已經(jīng)能夠提供高階、高毛利產(chǎn)品,未來的3-5 年內(nèi),大陸地區(qū)的封測企CAGR增長率將持續(xù)超越全球同業(yè)。

4ae3be86-8f51-11eb-8b86-12bb97331649.jpg

4b4b7396-8f51-11eb-8b86-12bb97331649.jpg

版權(quán)聲明:【我們尊重原創(chuàng)。文字美圖素材,版權(quán)均屬于原作者。部分文章推送時因種種原因未能與原作者聯(lián)系上,若我司推廣的內(nèi)容涉及版權(quán)問題,請及時聯(lián)系,我們將在第一時間刪除,謝謝?!?/p>

原文標(biāo)題:芯片制程之戰(zhàn):最燒錢的技術(shù)戰(zhàn)! 史上最全的半導(dǎo)體產(chǎn)業(yè)鏈全景!

文章出處:【微信公眾號:5G半導(dǎo)體】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49639

    瀏覽量

    417240
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26326

    瀏覽量

    210100

原文標(biāo)題:芯片制程之戰(zhàn):最燒錢的技術(shù)戰(zhàn)! 史上最全的半導(dǎo)體產(chǎn)業(yè)鏈全景!

文章出處:【微信號:Smart6500781,微信公眾號:SEMIEXPO半導(dǎo)體】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    安世半導(dǎo)體攜多款先進(jìn)產(chǎn)品和解決方案亮相PCIM Asia 2024

    8月28日至30日,全球領(lǐng)先的半導(dǎo)體解決方案提供商安世半導(dǎo)體在PCIM Asia 2024展會上大放異彩,展示了其強(qiáng)大的技術(shù)實力與創(chuàng)新成果。此次參展,安世半導(dǎo)體精心籌備,攜
    的頭像 發(fā)表于 09-03 14:40 ?379次閱讀

    臺積電回應(yīng)先進(jìn)制程漲價傳聞:定價以策略為導(dǎo)向

    近日,市場上傳出臺積電將針對先進(jìn)制程技術(shù)進(jìn)行價格調(diào)整的傳聞,涉及5納米、3納米以及未來2納米制程。據(jù)稱,該公司計劃在下半年啟動新的價格調(diào)漲談判,并預(yù)計漲價決策將在2025年正式生效。
    的頭像 發(fā)表于 06-19 11:37 ?532次閱讀

    臺積電2023年報:先進(jìn)制程先進(jìn)封裝業(yè)務(wù)成績

    據(jù)悉,臺積電近期發(fā)布的2023年報詳述其先進(jìn)制程先進(jìn)封裝業(yè)務(wù)進(jìn)展,包括N2、N3、N4、N5、N6e等工藝節(jié)點,以及SoIC CoW、CoWoS-R、InFO_S、InFO_M_PoP等封裝技術(shù)。
    的頭像 發(fā)表于 04-25 15:54 ?477次閱讀

    半導(dǎo)體晶片的測試—晶圓針測制程的確認(rèn)

    將制作在晶圓上的許多半導(dǎo)體,一個個判定是否為良品,此制程稱為“晶圓針測制程”。
    的頭像 發(fā)表于 04-19 11:35 ?655次閱讀
    <b class='flag-5'>半導(dǎo)體</b>晶片的測試—晶圓針測<b class='flag-5'>制程</b>的確認(rèn)

    淺談半導(dǎo)體制造的前段制程與后段制程

    前段制程包括:形成絕緣層、導(dǎo)體層、半導(dǎo)體層等的“成膜”;以及在薄膜表面涂布光阻(感光性樹脂),并利用相片黃光微影技術(shù)長出圖案的“黃光微影”。
    的頭像 發(fā)表于 04-02 11:16 ?3409次閱讀

    半導(dǎo)體發(fā)展的個時代

    臺積電的 Suk Lee 發(fā)表了題為“摩爾定律和半導(dǎo)體行業(yè)的第個時代”的主題演講。Suk Lee表示,任何試圖從半導(dǎo)體行業(yè)傳奇而動蕩的歷史中發(fā)掘出一些意義的事情都會引起我的注意。正如臺積電所解釋
    發(fā)表于 03-27 16:17

    半導(dǎo)體發(fā)展的個時代

    臺積電的 Suk Lee 發(fā)表了題為“摩爾定律和半導(dǎo)體行業(yè)的第個時代”的主題演講。Suk Lee表示,任何試圖從半導(dǎo)體行業(yè)傳奇而動蕩的歷史中發(fā)掘出一些意義的事情都會引起我的注意。正如臺積電所解釋
    發(fā)表于 03-13 16:52

    中國大陸半導(dǎo)體成熟制程產(chǎn)能穩(wěn)步提升

    臺積電設(shè)在日本熊本的工廠所生產(chǎn)的成熟制程半導(dǎo)體雖然相對于先進(jìn)制程而言較為滯后,但卻在汽車和工業(yè)機(jī)械等領(lǐng)域得到了廣泛應(yīng)用,成為經(jīng)濟(jì)安全保障中的重要戰(zhàn)略資源。
    的頭像 發(fā)表于 03-06 09:38 ?513次閱讀

    半導(dǎo)體設(shè)備銷售增長,看好中國市場與先進(jìn)制程需求前景

    國內(nèi)券商華泰證券也在研究報告中提出,中國市場、人工智能和汽車電動化是投資日本半導(dǎo)體產(chǎn)業(yè)的三大潛力領(lǐng)域。今年以來,日本半導(dǎo)體板塊總市值已上升14.3%,設(shè)備板塊升幅更達(dá)23.5%,遠(yuǎn)遠(yuǎn)超過東證指數(shù)的增長率10.9%。
    的頭像 發(fā)表于 02-28 09:51 ?346次閱讀

    2024年全球半導(dǎo)體市場發(fā)展趨勢與挑戰(zhàn)

    Sigmaintell預(yù)計,2024年晶圓代工業(yè)將有望進(jìn)入復(fù)蘇周期,預(yù)計2024年一季度有望恢復(fù)至75-76%,且先進(jìn)制程恢復(fù)動能強(qiáng)于成熟制程
    的頭像 發(fā)表于 01-22 14:19 ?851次閱讀

    臺積電2023年Q4營收穩(wěn)健,先進(jìn)制程營收占比高達(dá)67%

    按工藝來看,3 納米制程產(chǎn)品占當(dāng)期銷售額的 15%,5 納米產(chǎn)品占比達(dá)到了 35%,而 7 納米產(chǎn)品則占據(jù)了 17%;整體上看,先進(jìn)制程(包括 7 納米及以上)銷售額占總銷售額的比重達(dá)到了 67%。
    的頭像 發(fā)表于 01-18 14:51 ?825次閱讀
    臺積電2023年Q4營收穩(wěn)健,<b class='flag-5'>先進(jìn)制程</b>營收占比高達(dá)67%

    芯片先進(jìn)制程之爭:2nm戰(zhàn)況激烈,1.8/1.4nm苗頭顯露

    隨著GPU、CPU等高性能芯片不斷對芯片制程提出了更高的要求,突破先進(jìn)制程技術(shù)壁壘已是業(yè)界的共同目標(biāo)。目前放眼全球,掌握先進(jìn)制程技術(shù)的企業(yè)主要為臺積電、三星、英特爾等大廠。
    的頭像 發(fā)表于 01-04 16:20 ?755次閱讀
    芯片<b class='flag-5'>先進(jìn)制程之</b>爭:2nm戰(zhàn)況激烈,1.8/1.4nm苗頭顯露

    [半導(dǎo)體前端工藝:第二篇] 半導(dǎo)體制程工藝概覽與氧化

    [半導(dǎo)體前端工藝:第二篇] 半導(dǎo)體制程工藝概覽與氧化
    的頭像 發(fā)表于 11-29 15:14 ?1155次閱讀
    [<b class='flag-5'>半導(dǎo)體</b>前端工藝:第二篇] <b class='flag-5'>半導(dǎo)體制程</b>工藝概覽與氧化

    臺積電、三星、英特爾先進(jìn)制程競爭白熱化

    英特爾執(zhí)行長PatGelsinger 透露,18A 已取得三家客戶代工訂單,希望年底前爭取到第位客戶,先進(jìn)制程18A 計劃于2024 年底開始生產(chǎn),其中一位客戶已先付款,外界預(yù)期可能是英偉達(dá)或高通。
    的頭像 發(fā)表于 11-19 10:08 ?1078次閱讀
    臺積電、三星、英特爾<b class='flag-5'>先進(jìn)制程</b>競爭白熱化

    中國看好成熟制程,積極擴(kuò)增成熟制程產(chǎn)能

    TrendForce統(tǒng)計,28納米以上的成熟制程及16納米以下的先進(jìn)制程,2023~2027年全球晶圓代工產(chǎn)能比重約維持7比3。其中,中國大陸因積極擴(kuò)增成熟制程產(chǎn)能,
    發(fā)表于 11-02 16:04 ?201次閱讀