0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

關(guān)于同步復(fù)位與異步復(fù)位的仿真詳解

FPGA技術(shù)驛站 ? 來源:Lauren的FPGA ? 作者:Lauren的FPGA ? 2021-04-09 11:29 ? 次閱讀

FPGA設(shè)計(jì)中,我們遵循的原則之一是同步電路,即所有電路是在同一時(shí)鐘下同步地處理數(shù)據(jù)。這個(gè)概念可進(jìn)一步展開,即不局限于同一時(shí)鐘,只要時(shí)鐘之間是同步關(guān)系(兩者之間有明確的相位延遲,例如同一個(gè)MMCM生成的時(shí)鐘),這是因?yàn)槟壳暗?a target="_blank">芯片規(guī)模越來越大,設(shè)計(jì)越來越復(fù)雜,往往需要多個(gè)時(shí)鐘同時(shí)運(yùn)算。

通常,我們說某個(gè)信號(hào)與指定時(shí)鐘同步,意味著這個(gè)信號(hào)是由該時(shí)鐘驅(qū)動(dòng)的邏輯生成的,或者這個(gè)信號(hào)只有在時(shí)鐘有效沿(一般是上升沿有效)下才會(huì)被觸發(fā)。以復(fù)位信號(hào)為例,就有同步復(fù)位和異步復(fù)位之分。我們從HDL代碼角度看一下二者的區(qū)別。如下圖所示代碼,描述的是同步復(fù)位觸發(fā)器,左側(cè)為VHDL-2008版本的描述方式,右側(cè)為System Verilog版本的描述方式??梢钥吹矫舾凶兞苛斜恚ㄗ髠?cè)第15行,右側(cè)第8行)中只有時(shí)鐘clk,因此,進(jìn)程中的狀態(tài)變化就依賴于時(shí)鐘的變化即時(shí)鐘有效沿。

853274ae-986d-11eb-8b86-12bb97331649.png

我們?cè)倏纯串惒綇?fù)位是如何描述的,如下圖所示。左側(cè)是VHDL-2008版本,右側(cè)是System Verilog版本??梢钥吹剑藭r(shí)敏感變量列表中除了時(shí)鐘clk之外還有復(fù)位信號(hào)rst。在VHDL版本中,可以明確地看到復(fù)位信號(hào)位于if條件分支,而時(shí)鐘有效沿位于elsif分支,優(yōu)先級(jí)低于復(fù)位信號(hào)。因此,這里只要復(fù)位有效,無論時(shí)鐘沿是否有效都會(huì)觸發(fā)操作。

853cf26c-986d-11eb-8b86-12bb97331649.png

進(jìn)一步,我們從仿真角度看看這兩者的區(qū)別。同步復(fù)位觸發(fā)器的仿真結(jié)果如下圖所示??梢钥吹綇?fù)位信號(hào)只有在時(shí)鐘有效沿下才起作用。圖中第二個(gè)復(fù)位脈沖并沒有被時(shí)鐘有效沿采到,故不會(huì)導(dǎo)致觸發(fā)器復(fù)位。

8554e4c6-986d-11eb-8b86-12bb97331649.png

異步復(fù)位觸發(fā)器仿真結(jié)果如下圖所示。可以看到第二個(gè)復(fù)位脈沖盡管沒有在時(shí)鐘有效沿下,但仍促使觸發(fā)器復(fù)位。這正是異步的原理。

85698eda-986d-11eb-8b86-12bb97331649.png

對(duì)比兩個(gè)仿真結(jié)果,我們可以看到同步復(fù)位的一個(gè)明顯的好處就是利用了時(shí)鐘的過濾功能,去除了復(fù)位信號(hào)上的毛刺,而異步復(fù)位下,如果復(fù)位信號(hào)有毛刺就會(huì)導(dǎo)致觸發(fā)器誤操作,這在狀態(tài)機(jī)電路中尤為嚴(yán)重,很有可能導(dǎo)致狀態(tài)機(jī)進(jìn)入無效狀態(tài)。
編輯:lyn

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3972

    瀏覽量

    132959
  • 同步復(fù)位
    +關(guān)注

    關(guān)注

    0

    文章

    26

    瀏覽量

    10688
  • 異步復(fù)位
    +關(guān)注

    關(guān)注

    0

    文章

    46

    瀏覽量

    13288

原文標(biāo)題:同步復(fù)位與異步復(fù)位

文章出處:【微信號(hào):Lauren_FPGA,微信公眾號(hào):FPGA技術(shù)驛站】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    STM32復(fù)位電路用復(fù)位芯片和阻容復(fù)位電路區(qū)別

    STM32是一款廣泛使用的微控制器,其復(fù)位電路設(shè)計(jì)對(duì)于系統(tǒng)的穩(wěn)定性和可靠性至關(guān)重要。本文將詳細(xì)介紹STM32復(fù)位電路中使用復(fù)位芯片和阻容復(fù)位電路的區(qū)別,以及各自的優(yōu)缺點(diǎn)和應(yīng)用場(chǎng)景。 引
    的頭像 發(fā)表于 08-06 10:26 ?422次閱讀

    FPGA同步復(fù)位異步復(fù)位

    FPGA(Field-Programmable Gate Array,現(xiàn)場(chǎng)可編程門陣列)中的復(fù)位操作是設(shè)計(jì)過程中不可或缺的一環(huán),它負(fù)責(zé)將電路恢復(fù)到初始狀態(tài),以確保系統(tǒng)的正確啟動(dòng)和穩(wěn)定運(yùn)行。在FPGA設(shè)計(jì)中,復(fù)位方式主要分為同步
    的頭像 發(fā)表于 07-17 11:12 ?693次閱讀

    MCU復(fù)位RAM會(huì)保持嗎,如何實(shí)現(xiàn)復(fù)位時(shí)變量數(shù)據(jù)保持

    在使用MCU時(shí),通常大家默認(rèn)MCU復(fù)位時(shí)RAM會(huì)被復(fù)位清零,那實(shí)際MCU復(fù)位時(shí)RAM是什么狀態(tài)?如何讓mcu復(fù)位時(shí)RAM保持不變呢?
    的頭像 發(fā)表于 03-01 09:32 ?2045次閱讀
    MCU<b class='flag-5'>復(fù)位</b>RAM會(huì)保持嗎,如何實(shí)現(xiàn)<b class='flag-5'>復(fù)位</b>時(shí)變量數(shù)據(jù)保持

    ABB變頻器怎么復(fù)位 | 復(fù)位時(shí)可能會(huì)出現(xiàn)哪些問題?

    ABB變頻器是一種應(yīng)用廣泛的工業(yè)自動(dòng)化設(shè)備,廣泛應(yīng)用于電機(jī)控制、電力傳輸、風(fēng)機(jī)控制和制造等領(lǐng)域。然而,在使用ABB變頻器的過程中,有時(shí)候我們可能需要對(duì)設(shè)備進(jìn)行復(fù)位,以達(dá)到重新設(shè)置和調(diào)整的目的。那么
    的頭像 發(fā)表于 02-21 10:50 ?5719次閱讀
    ABB變頻器怎么<b class='flag-5'>復(fù)位</b> | <b class='flag-5'>復(fù)位</b>時(shí)可能會(huì)出現(xiàn)哪些問題?

    什么是復(fù)位同步電路 reset synchronizer?

    復(fù)位同步電路 reset synchronizer 其實(shí)只在復(fù)位信號(hào) release 的時(shí)候派上用場(chǎng)。復(fù)位結(jié)束后,這個(gè)電路其實(shí)就沒用了。 但這個(gè)電路的時(shí)鐘還在 switch,這個(gè)電路還
    的頭像 發(fā)表于 02-19 09:21 ?1074次閱讀
    什么是<b class='flag-5'>復(fù)位</b><b class='flag-5'>同步</b>電路 reset synchronizer?

    如何排查GD32 MCU復(fù)位是由哪個(gè)復(fù)位源導(dǎo)致的?

    上期為大家講解了GD32 MCU復(fù)位包括電源復(fù)位和系統(tǒng)復(fù)位,其中系統(tǒng)復(fù)位還包括獨(dú)立看門狗復(fù)位、內(nèi)核軟復(fù)位
    的頭像 發(fā)表于 02-03 09:46 ?1481次閱讀
    如何排查GD32 MCU<b class='flag-5'>復(fù)位</b>是由哪個(gè)<b class='flag-5'>復(fù)位</b>源導(dǎo)致的?

    GD32 MCU電源復(fù)位和系統(tǒng)復(fù)位有什么區(qū)別

    GD32 MCU的復(fù)位分為電源復(fù)位和系統(tǒng)復(fù)位,電源復(fù)位又稱為冷復(fù)位,相較于系統(tǒng)復(fù)位,上電
    的頭像 發(fā)表于 02-02 09:37 ?1211次閱讀
    GD32 MCU電源<b class='flag-5'>復(fù)位</b>和系統(tǒng)<b class='flag-5'>復(fù)位</b>有什么區(qū)別

    異步復(fù)位異步釋放會(huì)有什么問題?FPGA異步復(fù)位為什么要同步釋放呢?

    一般來說,復(fù)位信號(hào)有效后會(huì)保持比較長(zhǎng)一段時(shí)間,確保 register 被復(fù)位完成。但是復(fù)位信號(hào)釋放時(shí),因?yàn)槠浜蜁r(shí)鐘是異步的關(guān)系,我們不知道它會(huì)在什么時(shí)刻被釋放。
    的頭像 發(fā)表于 01-24 09:32 ?1546次閱讀
    <b class='flag-5'>異步</b><b class='flag-5'>復(fù)位</b><b class='flag-5'>異步</b>釋放會(huì)有什么問題?FPGA<b class='flag-5'>異步</b><b class='flag-5'>復(fù)位</b>為什么要<b class='flag-5'>同步</b>釋放呢?

    同步復(fù)位異步復(fù)位到底孰優(yōu)孰劣呢?

    同步復(fù)位異步復(fù)位到底孰優(yōu)孰劣呢? 同步復(fù)位異步
    的頭像 發(fā)表于 01-16 16:25 ?1256次閱讀

    復(fù)位電路的復(fù)位條件和復(fù)位過程

    電源監(jiān)測(cè)芯片復(fù)位電路:這是最常見的復(fù)位電路類型,使用專用的電源監(jiān)測(cè)芯片來監(jiān)測(cè)電源電壓,并在電壓低于或高于預(yù)設(shè)閾值時(shí)觸發(fā)復(fù)位信號(hào)。
    的頭像 發(fā)表于 01-16 16:04 ?1023次閱讀

    對(duì)于芯片中的復(fù)位信號(hào)我們通常會(huì)有哪些特殊處理?

    經(jīng)常在面試時(shí)問到一個(gè)問題:對(duì)于芯片中的復(fù)位信號(hào)我們通常會(huì)有哪些特殊處理?這個(gè)時(shí)候我一般希望得到的回答包括:復(fù)位消抖、異步復(fù)位同步撤離、降頻
    的頭像 發(fā)表于 12-25 09:52 ?1650次閱讀
    對(duì)于芯片中的<b class='flag-5'>復(fù)位</b>信號(hào)我們通常會(huì)有哪些特殊處理?

    復(fù)位那些小事—系統(tǒng)解復(fù)位的特殊處理

    復(fù)位就是復(fù)位撤離,系統(tǒng)解復(fù)位就是復(fù)位結(jié)束了系統(tǒng)準(zhǔn)備開始工作。
    的頭像 發(fā)表于 12-04 15:25 ?1227次閱讀
    <b class='flag-5'>復(fù)位</b>那些小事—系統(tǒng)解<b class='flag-5'>復(fù)位</b>的特殊處理

    異步復(fù)位同步撤離是什么意思?如何做到異步復(fù)位同步撤離呢?

    復(fù)位消抖之后的下一件事,[異步復(fù)位]()同步撤離。這句話什么意思呢?
    的頭像 發(fā)表于 12-04 13:57 ?3840次閱讀
    <b class='flag-5'>異步</b><b class='flag-5'>復(fù)位</b><b class='flag-5'>同步</b>撤離是什么意思?如何做到<b class='flag-5'>異步</b><b class='flag-5'>復(fù)位</b><b class='flag-5'>同步</b>撤離呢?

    復(fù)位那些小事—典型的軟復(fù)位流程

    在聊到復(fù)位的時(shí)候總會(huì)區(qū)分兩個(gè)概念:硬復(fù)位和軟復(fù)位
    的頭像 發(fā)表于 12-04 13:46 ?2198次閱讀

    RC復(fù)位電路中R如何影響芯片復(fù)位?

    RC復(fù)位電路中R如何影響芯片復(fù)位? RC復(fù)位電路是常見的一種復(fù)位電路,它通過串聯(lián)一個(gè)電阻和一個(gè)電容元件來實(shí)現(xiàn)對(duì)芯片的復(fù)位功能。在RC電路中,
    的頭像 發(fā)表于 10-25 11:07 ?1067次閱讀