0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

詳細(xì)分析Verilog編寫程序測(cè)試無(wú)符號(hào)數(shù)和有符號(hào)數(shù)的乘法

FPGA之家 ? 來(lái)源:CSDN技術(shù)社區(qū) ? 作者: DengFengLai123 ? 2021-05-02 10:48 ? 次閱讀

有符號(hào)數(shù)的計(jì)算在 Verilog 中是一個(gè)很重要的問題(也很容易會(huì)被忽視),在使用 Verilog 語(yǔ)言編寫 FIR 濾波器時(shí),需要涉及到有符號(hào)數(shù)的加法和乘法,在之前的程序中我把所有的輸入輸出和中間信號(hào)都定義成有符號(hào)數(shù),這樣在計(jì)算時(shí)沒有出現(xiàn)問題(實(shí)際在之前的程序中遇到了問題,最后濾波結(jié)果不對(duì),博客的程序是已經(jīng)改正過的),下面實(shí)際試驗(yàn)一下 Verilog 的乘法問題;

1. 編寫程序測(cè)試無(wú)符號(hào)數(shù)和有符號(hào)數(shù)的乘法

編寫程序如下,其中,乘法的兩個(gè)乘數(shù)分別是無(wú)符號(hào)、有符號(hào)的四種組合,輸出的積也是分為無(wú)符號(hào)和有符號(hào),共計(jì) 8 種可能;

module signed_test( input [7:0] data_in_unsigned_1, input [7:0] data_in_unsigned_2,

input signed [7:0] data_in_signed_1, input signed [7:0] data_in_signed_2,

output [15:0] data_out_000, output [15:0] data_out_001, output [15:0] data_out_010, output [15:0] data_out_011,

output signed [15:0] data_out_100, output signed [15:0] data_out_101, output signed [15:0] data_out_110, output signed [15:0] data_out_111 );

//無(wú)符號(hào) = 無(wú)符號(hào) * 無(wú)符號(hào)assign data_out_000 = data_in_unsigned_1 * data_in_unsigned_2;//無(wú)符號(hào) = 無(wú)符號(hào) * 有符號(hào)assign data_out_001 = data_in_unsigned_1 * data_in_signed_2;//無(wú)符號(hào) = 有符號(hào) * 無(wú)符號(hào)assign data_out_010 = data_in_signed_1 * data_in_unsigned_2;//無(wú)符號(hào) = 有符號(hào) * 有符號(hào)assign data_out_011 = data_in_signed_1 * data_in_signed_2;

//有符號(hào) = 無(wú)符號(hào) * 無(wú)符號(hào)assign data_out_100 = data_in_unsigned_1 * data_in_unsigned_2;//有符號(hào) = 無(wú)符號(hào) * 有符號(hào)assign data_out_101 = data_in_unsigned_1 * data_in_signed_2;//有符號(hào) = 有符號(hào) * 無(wú)符號(hào)assign data_out_110 = data_in_signed_1 * data_in_unsigned_2;//有符號(hào) = 有符號(hào) * 有符號(hào)assign data_out_111 = data_in_signed_1 * data_in_signed_2;

endmodule

生成的 RTL 圖如下:

可以看到,輸出的積和符號(hào)無(wú)關(guān),有符號(hào)數(shù)和無(wú)符號(hào)數(shù)實(shí)際上是同一個(gè)數(shù),只看我們?cè)趺炊x它,比如乘積是 16 位的二進(jìn)制 16’b1100_0000_0000_0011,當(dāng)我們認(rèn)為它是無(wú)符號(hào)數(shù)是,最高位的 1 就不是符號(hào)位,而是 2^15(2的15次方),這樣這個(gè)數(shù)代表的十進(jìn)制是 2^15 + 2^14 + 2^1 + 2^0 = 49155;

4e28e056-9d13-11eb-8b86-12bb97331649.png

如果把 16 位的二進(jìn)制 16’b1100_0000_0000_0011 當(dāng)成是一個(gè)有符號(hào)數(shù)來(lái)看,那么最高位是符號(hào)位,且剩下的數(shù)據(jù)時(shí)原來(lái)的數(shù)據(jù)二進(jìn)制表示后取反再加1(補(bǔ)碼表示),要計(jì)算它對(duì)應(yīng)的十進(jìn)制數(shù)

(1) 先去掉符號(hào)位,保留剩下的 15-bit 的 100_0000_0000_0011;

(2) 把 100_0000_0000_0011 取反,得到 011_1111_1111_1100;

(3) 把 011_1111_1111_1100 的最低位 + 1,得到 011_1111_1111_1101;

(4) 011_1111_1111_1101 按照無(wú)符號(hào)數(shù)換算成十進(jìn)制是 16381;

(5) 把最高位符號(hào)位加上,0代表正數(shù),1代表負(fù)數(shù),所以最后換算是 -16831;

Windows 計(jì)算器默認(rèn)最高位是符號(hào)位;

4e3eb69c-9d13-11eb-8b86-12bb97331649.png

測(cè)試數(shù)據(jù)如下:

initial begin data_in_unsigned_1 = 8‘hff; //255 data_in_unsigned_2 = 8’hf0; //240 data_in_signed_1 = 8‘hff; //-1 data_in_signed_2 = 8’hf0; //-16 #200; data_in_unsigned_1 = 8‘hff; //255 data_in_unsigned_2 = 8’h0f; //15 data_in_signed_1 = 8‘hff; //-1 data_in_signed_2 = 8’h0f; //15 #200; data_in_unsigned_1 = 8‘d127; //127 data_in_unsigned_2 = 8’d15; //15 data_in_signed_1 = -8‘sd127; //-127,十進(jìn)制有符號(hào)數(shù)賦值,必須要用 sd 表示 data_in_signed_2 = -8’sd15; //-15 #200; data_in_unsigned_1 = 8‘d128; //128 data_in_unsigned_2 = 8’d15; //15 data_in_signed_1 = -8‘sd128; //-128 data_in_signed_2 = -8’sd15; //-15 #200; data_in_unsigned_1 = 8‘d127; //127 data_in_unsigned_2 = 8’d15; //15 data_in_signed_1 = -8‘sd127; //-127 data_in_signed_2 = 8’sd15; //15 #200; data_in_unsigned_1 = 8‘d128; //128 data_in_unsigned_2 = 8’d15; //15 data_in_signed_1 = -8‘sd128; //-128 data_in_signed_2 = 8’sd15; //15 #200; data_in_unsigned_1 = 8‘d127; //127 data_in_unsigned_2 = 8’d15; //15 data_in_signed_1 = 8‘sd127; //127 data_in_signed_2 = -8’sd15; //-15 #200; data_in_unsigned_1 = 8‘d127; //127 data_in_unsigned_2 = 8’d15; //15 data_in_signed_1 = 8‘sd127; //127 data_in_signed_2 = 8’sd15; //15 #200; $stop;end

2. 仿真分析

計(jì)算的結(jié)果仿真如下:

4e6ffb26-9d13-11eb-8b86-12bb97331649.png

對(duì)上圖分析:

(1) 在 0 ~ 400 ns,仿真中使用十六進(jìn)制賦值相同的十六進(jìn)制數(shù)據(jù)給乘數(shù),讓乘數(shù)分別以無(wú)符號(hào)數(shù)和有符號(hào)數(shù)進(jìn)行讀取,可以看到對(duì) 8’hff(對(duì)應(yīng)二進(jìn)制 8’b1111_1111)以無(wú)符號(hào)數(shù)讀取時(shí)是按照 原碼 讀取,對(duì)應(yīng)十進(jìn)制 255,以有符號(hào)數(shù)讀取時(shí)是按照補(bǔ)碼讀取,按照上文所說的去掉符號(hào)位后取反、加1再計(jì)算十進(jìn)制得 -1;

(2) 直接賦值十進(jìn)制數(shù)據(jù),乘數(shù)在以無(wú)符號(hào)數(shù)讀取時(shí)時(shí)按照原碼讀取,127就對(duì)應(yīng) 8 位二進(jìn)制數(shù) 8’b0111_1111,十進(jìn)制 128 就對(duì)應(yīng) 8 位二進(jìn)制 8’b1000_0000;而以有符號(hào)數(shù)讀取的時(shí)候是會(huì)直接轉(zhuǎn)換為補(bǔ)碼形式,如 -127,先去掉符號(hào)位是 127,對(duì)應(yīng) 7 位二進(jìn)制數(shù) 7’b111_1111,取反為 7’b000_0000,加 1 為 7’b000_0001,將符號(hào)位補(bǔ)回到最高位為 8’b1000_0001;對(duì)于 -128 的表示比較特殊,8-bit的二進(jìn)制數(shù)最高位是符號(hào)位,表示正負(fù),剩下的 7-bit 能夠表示的數(shù)的范圍是 0 ~ 127,前面加上 ± 就能表示 -127 ~ 127,其中有 2 個(gè)數(shù)很特殊就是 8’b0000_0000 和 8’b1000_0000,按照上面會(huì)出現(xiàn) +0 和 -0,為了區(qū)分出這兩個(gè)數(shù),前人定義 8’b0000_0000 表示 0,而 8’b1000_0000 表示 -128,這樣不僅能區(qū)分開兩個(gè)數(shù),還多表示了一個(gè)數(shù) -128(整個(gè)計(jì)算機(jī)體系通用,其他位數(shù)時(shí)類似表示一個(gè)負(fù)數(shù));

4e7a71dc-9d13-11eb-8b86-12bb97331649.png

(3) 實(shí)際上,觀察下圖數(shù)據(jù)可以發(fā)現(xiàn),只有data_out_000 和 data_out_111 的數(shù)據(jù)時(shí)全部計(jì)算正確的,這也符合常理:

無(wú)符號(hào) * 無(wú)符號(hào) = 無(wú)符號(hào);

有符號(hào) * 有符號(hào) = 有符號(hào);

其它的計(jì)算為什么會(huì)出錯(cuò)呢?實(shí)際上這里遵循一個(gè)原則:

如果表達(dá)式中有一個(gè)無(wú)符號(hào)數(shù),則所有的操作數(shù)都會(huì)被強(qiáng)行轉(zhuǎn)換為無(wú)符號(hào)數(shù);

這樣也就解釋了 0 ~ 400 ns 時(shí)的 data_out_001 和 data_out_010 的計(jì)算結(jié)果和 data_out_000 完全一致,它們都是把賦值的 8 位十六進(jìn)制數(shù)當(dāng)做無(wú)符號(hào)數(shù)計(jì)算的(這里不存在十進(jìn)制到二進(jìn)制原碼、補(bǔ)碼換算的問題,因?yàn)榻o的是十六進(jìn)制);

當(dāng)后面設(shè)計(jì)輸入輸出時(shí),如果是有符號(hào),那么將相關(guān)計(jì)算的輸入/輸出和中間量都顯式的用 signed 定義;

4ea872a8-9d13-11eb-8b86-12bb97331649.png

3. 有符號(hào)數(shù)乘法的另一種計(jì)算

前面說的計(jì)算時(shí)將涉及到的相關(guān)量全部定義為有符號(hào)數(shù)是一種計(jì)算方法,此外,通常情況下可能會(huì)定義的無(wú)符號(hào)數(shù),但是實(shí)際傳入的是有符號(hào)數(shù),比如下面的輸入和輸出都沒有指定成 signed 有符號(hào)數(shù),計(jì)算時(shí)默認(rèn)是按照無(wú)符號(hào)數(shù)計(jì)算(實(shí)際上我感覺是把讀取到的 8 位二進(jìn)制數(shù)當(dāng)做原碼去算),此時(shí)若外部傳入的數(shù)據(jù)實(shí)際上是有符號(hào)數(shù)(比如 FIR 濾波器傳入了正負(fù)均有的待濾波信號(hào)),那么需要對(duì)符號(hào)位進(jìn)行擴(kuò)展來(lái)計(jì)算乘法和加法;

module signed_test_2( input [7:0] data_in_1, input [7:0] data_in_2, output [15:0] data_out_1, output [15:0] data_out_2);

對(duì)于乘法,需要擴(kuò)展符號(hào)位 到 和積的位數(shù)相等,比如乘數(shù)a為 N-bit,乘數(shù) b 為M-bit,兩個(gè)相乘得到 N+M 位數(shù)據(jù),此時(shí)需要對(duì) a 擴(kuò)展 M-bit 到 N+M 位,對(duì) b 擴(kuò)展 N-bit 到 N+M 位;

下面,使用 位拼接符 { } 來(lái)做演示,位拼接符可以按照二進(jìn)制的位來(lái)進(jìn)行高低位的拼接,假設(shè) data_in_1= 8’b1000_0011,對(duì)于 {{8{data_in_1[7]}},data_in_1} 可以這樣理解:

(1) 先看 8{data_in_1[7]},表示取出 8-bit 數(shù)據(jù) data_in_1 的最高位 data_in_1[7],重復(fù) 8 次,相當(dāng)于 { data_in_1[7], data_in_1[7], data_in_1[7], data_in_1[7], data_in_1[7], data_in_1[7], data_in_1[7], data_in_1[7] },即高位擴(kuò)展 8-bit 的 1

(2) {{8{data_in_1[7]}},data_in_1} 相當(dāng)于在 data_in_1 的前面補(bǔ)上 8 個(gè) data_in_1[7],即 結(jié)果為 16-bit 的 16’b1111_1111_1000_0011;

//不做符號(hào)位擴(kuò)展,直接相乘assign data_out_1 = data_in_1 * data_in_2;//做符號(hào)位擴(kuò)展,再相乘assign data_out_2 = {{8{data_in_1[7]}},data_in_1} * {{8{data_in_2[7]}},data_in_2};

仿真測(cè)試數(shù)據(jù)如下,1 處用十六進(jìn)制給出數(shù)據(jù),2 處用有符號(hào)的十進(jìn)制賦值,3 處是為了和 2 處對(duì)比,看最后賦值是否一樣(看到有博客說 3 的賦值是錯(cuò)的,所以測(cè)試一下);

4eb7e68e-9d13-11eb-8b86-12bb97331649.png

仿真結(jié)果如下,可以看到上圖 2 處和 3 處的賦值在仿真時(shí)是同樣的數(shù)據(jù),把所有數(shù)據(jù)都用有符號(hào)的十進(jìn)制數(shù)顯示(右鍵數(shù)據(jù) Radix -》 Signed Decimal);

4ec3fa3c-9d13-11eb-8b86-12bb97331649.png

可以看到,data_out_1的結(jié)果是錯(cuò)的(沒有補(bǔ)符號(hào)位),data_out_2的結(jié)果是對(duì)的(補(bǔ)符號(hào)位);

4ecead7e-9d13-11eb-8b86-12bb97331649.png

對(duì)有符號(hào)數(shù)的加法,同樣的,要么相關(guān)的運(yùn)算全部定義成有符號(hào)數(shù),要么進(jìn)行符號(hào)位的擴(kuò)展,對(duì)于加法操作,只需要每個(gè)被加數(shù)擴(kuò)展 1 位符號(hào)位即可;

除此之外,還可以調(diào)用乘法器的 IP 來(lái)代替 乘法符號(hào) *,或者加法器的 IP 來(lái)代替 加法符號(hào) +,在 IP 核中配置輸入輸出為有符號(hào)數(shù)即可。
編輯:lyn

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 濾波器
    +關(guān)注

    關(guān)注

    158

    文章

    7596

    瀏覽量

    176575
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109713
  • RTL
    RTL
    +關(guān)注

    關(guān)注

    1

    文章

    384

    瀏覽量

    59515
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65854

原文標(biāo)題:Verilog學(xué)習(xí)筆記——有符號(hào)數(shù)的乘法和加法

文章出處:【微信號(hào):zhuyandz,微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    LM70 SPI/MICROWIRE 10位帶符號(hào)數(shù)字溫度傳感器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《LM70 SPI/MICROWIRE 10位帶符號(hào)數(shù)字溫度傳感器數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 08-14 09:28 ?0次下載
    LM70 SPI/MICROWIRE 10位帶<b class='flag-5'>符號(hào)數(shù)</b>字溫度傳感器數(shù)據(jù)表

    LM12454/LM12458/LM12H458 12位符號(hào)數(shù)據(jù)采集系統(tǒng)數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《LM12454/LM12458/LM12H458 12位符號(hào)數(shù)據(jù)采集系統(tǒng)數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 07-22 09:25 ?0次下載
    LM12454/LM12458/LM12H458 12位<b class='flag-5'>符號(hào)數(shù)</b>據(jù)采集系統(tǒng)數(shù)據(jù)表

    verilog系統(tǒng)函數(shù)

    (1)fwrite是需要觸發(fā)條件的,需要手動(dòng)添加換行。 (2)如果寫放文件的格式為%d,則認(rèn)為是無(wú)符號(hào)數(shù)
    的頭像 發(fā)表于 07-05 11:48 ?428次閱讀
    <b class='flag-5'>verilog</b>系統(tǒng)函數(shù)

    char是符號(hào)類型還是無(wú)符號(hào)類型?

    看一個(gè)C語(yǔ)言的筆試題,題目很簡(jiǎn)單,問char是符號(hào)類型還是無(wú)符號(hào)類型?
    的頭像 發(fā)表于 03-17 10:15 ?954次閱讀

    labview編寫程序的一般步驟

    LabVIEW是一種非常強(qiáng)大的圖形化編程工具,它可用于控制、測(cè)量、測(cè)試和監(jiān)控應(yīng)用。編寫LabVIEW程序的一般步驟包括以下幾個(gè)方面: 程序需求分析
    的頭像 發(fā)表于 12-29 10:06 ?1625次閱讀

    verilog中數(shù)據(jù)的符號(hào)屬性(符號(hào)數(shù)無(wú)符號(hào)數(shù))探究根源

    為了省流,還是先甩結(jié)論。符號(hào)數(shù)無(wú)符號(hào)數(shù)的最本質(zhì)區(qū)別就是:符號(hào)位的識(shí)別和高位拓展。除此之外,另一個(gè)區(qū)別就是從人的角度如何如何讀這個(gè)數(shù),或者
    的頭像 發(fā)表于 12-10 10:50 ?1118次閱讀
    <b class='flag-5'>verilog</b>中數(shù)據(jù)的<b class='flag-5'>符號(hào)</b>屬性(<b class='flag-5'>有</b><b class='flag-5'>符號(hào)數(shù)</b>和<b class='flag-5'>無(wú)</b><b class='flag-5'>符號(hào)數(shù)</b>)探究根源

    verilog中有符號(hào)數(shù)無(wú)符號(hào)數(shù)的本質(zhì)探究

    不知道有沒有人像我一樣,長(zhǎng)久以來(lái)將verilog中的符號(hào)數(shù)視為不敢觸碰的禁區(qū)。
    的頭像 發(fā)表于 12-04 16:13 ?772次閱讀
    <b class='flag-5'>verilog</b>中有<b class='flag-5'>符號(hào)數(shù)</b>和<b class='flag-5'>無(wú)</b><b class='flag-5'>符號(hào)數(shù)</b>的本質(zhì)探究

    codeblocks怎么編寫程序

    ::Blocks編寫程序的過程,以使您對(duì)其操作更深入的了解。 首先,我們需要下載并安裝Code::Blocks。您可以在官方網(wǎng)站https://www.codeblocks.org/上找到適用于您的操作系統(tǒng)的安裝程序。安裝過程
    的頭像 發(fā)表于 11-26 10:28 ?1045次閱讀

    怎樣在codeblocks上編寫程序

    在CodeBlocks上編寫程序是一項(xiàng)相對(duì)簡(jiǎn)單而又重要的任務(wù)。CodeBlocks是一個(gè)廣泛使用的集成開發(fā)環(huán)境(IDE),它能夠幫助程序編寫、編譯和運(yùn)行他們的代碼。在本篇文章中,我們將詳細(xì)
    的頭像 發(fā)表于 11-26 09:26 ?1105次閱讀

    虛擬機(jī)linux怎么編寫程序

    在虛擬機(jī)Linux上編寫程序是一項(xiàng)非常常見且有用的任務(wù)。無(wú)論是開發(fā)一個(gè)簡(jiǎn)單的腳本、一個(gè)Web應(yīng)用程序還是一個(gè)復(fù)雜的軟件項(xiàng)目,Linux提供了強(qiáng)大的工具和環(huán)境來(lái)幫助你進(jìn)行編程。本文將詳細(xì)介紹如何在
    的頭像 發(fā)表于 11-17 10:08 ?1208次閱讀

    無(wú)符號(hào)整型能產(chǎn)生哪些bug?

    為什么不建議使用無(wú)符號(hào)整型,無(wú)符號(hào)整型能產(chǎn)生哪些bug?
    的頭像 發(fā)表于 11-09 17:09 ?399次閱讀
    <b class='flag-5'>無(wú)</b><b class='flag-5'>符號(hào)</b>整型能產(chǎn)生哪些bug?

    嵌入式C語(yǔ)言經(jīng)典的代碼實(shí)例

    因?yàn)榫幾g器會(huì)將有符號(hào)數(shù)b轉(zhuǎn)換成為一個(gè)無(wú)符號(hào)數(shù),即此處 a+b 等價(jià)于 a+(unsigned int)b 。 該程序運(yùn)行在32bit環(huán)境下,b的值為 0xFFFFFFFF-20+1 =
    發(fā)表于 10-13 09:51 ?1249次閱讀
    嵌入式C語(yǔ)言經(jīng)典的代碼實(shí)例

    電子工程師必須掌握的20個(gè)模擬電路詳細(xì)分析

    內(nèi)含參考答案以及詳細(xì)分析
    發(fā)表于 10-07 07:15

    電子工程師需要掌握的20個(gè)模擬電路的詳細(xì)分析

    電子工程師需要掌握的20個(gè)模擬電路的詳細(xì)分析
    發(fā)表于 09-28 06:22

    電子工程師必須掌握的20個(gè)模擬電路詳細(xì)分析

    本文檔的主要內(nèi)容詳細(xì)介紹的是硬件工程師必須掌握的20個(gè)重要模擬電路的概述和參考答案以及詳細(xì)分析
    發(fā)表于 09-27 08:22