0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

關(guān)于Virtex7上DDR3的測試?yán)淘斀?/h1>

這篇文章我們講一下Virtex7上DDR3的測試?yán)?,Vivado也提供了一個DDR的example,但卻是純Verilog代碼,比較復(fù)雜,這里我們把DDR3的MIG的IP Core掛在Microblaze下,用很簡單的程序就可以進(jìn)行DDR3的測試。

但這個工程只是一個簡單的測試用例,實際應(yīng)用中不會這么用的,因此傳輸效率太低。

新建工程,FPGA選型為xc7v690tffg-1761。

1. 創(chuàng)建Block Design,命名為Microblaze_DDR3。

6d8c03fe-a08a-11eb-8b86-12bb97331649.jpg

2. 在bd文件中加入Mircoblaze。

6dae880c-a08a-11eb-8b86-12bb97331649.png

3. 點(diǎn)擊Run Block Automation。

6dcb028e-a08a-11eb-8b86-12bb97331649.png

4. 按照默認(rèn)配置,確定即可。

6def5e7c-a08a-11eb-8b86-12bb97331649.png

5. 出現(xiàn)下面的界面。

6e117eb2-a08a-11eb-8b86-12bb97331649.png

6. 添加MIG的IP Core。

6e38d52a-a08a-11eb-8b86-12bb97331649.png

7. 開始配置DDR,選擇Create Design.

6e53d6f4-a08a-11eb-8b86-12bb97331649.png

8. 這一步是選擇Pin腳兼容的FPGA,我們不做選擇,直接Next。

6e78cc2a-a08a-11eb-8b86-12bb97331649.png

9. 選擇DDR3。

6ecac43a-a08a-11eb-8b86-12bb97331649.png

10.

①選擇DDR的工作頻率,我們這里讓DDR3的頻率為1600MHz,所以時鐘頻率是800MHz;

②選擇器件,根據(jù)實際情況來選擇即可;

③數(shù)據(jù)位寬,也是根據(jù)板卡上的實際位寬進(jìn)行選擇;

④默認(rèn)即可。

6f05cb52-a08a-11eb-8b86-12bb97331649.png

11. 選擇AXI總線的位寬,這里我們選擇512。

6f2dadde-a08a-11eb-8b86-12bb97331649.png

12.

①選擇輸入時鐘頻率,雖然DDR的工作時鐘是800MHz(在第10步中選擇),但我們可以輸入一個低頻時鐘,然后MIG的IP Core中會倍頻到所需頻率。

②MIG的IP Core默認(rèn)會輸出一個200MHz的時鐘,如果還需要其他的時鐘輸出,可以在這里選擇。其他選擇默認(rèn)即可。

6f75a9cc-a08a-11eb-8b86-12bb97331649.png

13.

①選擇輸入時鐘的方式,這里的輸入時鐘就是我們上一個頁面中的設(shè)置的200MHz的輸入時鐘,如果選擇差分或單端,則輸入通過FPGA的管腳輸入200MHz時鐘到MIG的IP Core;如果選擇No Buffer,則可以通過FPGA內(nèi)部的MMCM輸出一個200MHz時鐘到MIG;這里我選擇了No Buffer;

②選擇參考時鐘的方式,參考時鐘頻率固定是200MHz,如果選擇如果選擇差分或單端,則輸入通過FPGA的管腳輸入200MHz時鐘到MIG的IP Core;如果選擇No Buffer,則可以通過FPGA內(nèi)部的MMCM輸出一個200MHz時鐘到MIG;如果在前一個頁面中選擇了輸入時鐘頻率是200MHz,則這邊會出現(xiàn)一個Use System Clock的選項,因為此時兩個時鐘頻率是相同的嘛。這里我選擇了Use System Clock;

③設(shè)置輸入復(fù)位信號的極性,這個要特別注意,盡量選擇高有效,因為無論我們選擇高復(fù)位還是低復(fù)位,它的端口名都叫sys_rst,會讓人直觀就覺得是高復(fù)位。我第一次使用時,就沒注意到這個選項,默認(rèn)為低,但在MIG的端口上看到sys_rst這個名字我以為是高有效,結(jié)果DDR一直不通。

(備注:對于絕大多數(shù)的Xilinx的IP,如果是低有效的復(fù)位,端口名字中肯定是有N這個標(biāo)志的)

6f9d6746-a08a-11eb-8b86-12bb97331649.png

14. 這個頁面不需要操作。

6fd57500-a08a-11eb-8b86-12bb97331649.png

15. 下面開始分配管腳,我比較習(xí)慣于選第二個,無論是第一次分配還是后面再重新分配。

7006581e-a08a-11eb-8b86-12bb97331649.png

16. 在這一頁,可以根據(jù)原理圖一一分配管腳;如果有現(xiàn)成的xdc/ucf文件,可以直接通過Read XDC/UCF讀入,然后再選擇Validate驗證管腳分配是否正確。

7020085e-a08a-11eb-8b86-12bb97331649.png

如果Validate成功,則會提示下面的界面。

702e699e-a08a-11eb-8b86-12bb97331649.png

17. 如果在第13步中,選擇了差分或單端輸入,則這里會出現(xiàn)下面第一個圖;如果選擇了No buffer,則這里會出現(xiàn)第二個圖。很容易理解,如果選擇了通過外部管腳輸入時鐘,那這里就是讓選擇具體的管腳。并不是所有的MRCC或者SRCC管腳都可以選的,只能選擇跟DDR管腳同一片區(qū)域的(比如DDR放在了Bank31 32 33,那么這里的時鐘輸入管腳就不能選擇Bank15)。

704795fe-a08a-11eb-8b86-12bb97331649.png

如果不選擇復(fù)位信號管腳,就可以通過FPGA內(nèi)部邏輯來輸入復(fù)位。

706c407a-a08a-11eb-8b86-12bb97331649.png

后面一路Next就完成了MIG IP Core的配置了。

18. 在bd文件中,加入AXI Interconnect、UARTLite和Interrupt(如果不加中斷模塊,Microblaze的程序跑不起來),串口用來打印信息。然后再添加各輸入輸出端口,把內(nèi)部的線連接起來,如下圖所示。

70931d94-a08a-11eb-8b86-12bb97331649.jpg

但這個圖里的線太多,看著不直觀,我們把Microblaze模塊、mdm_1、rst_clk_wiz和local_memory模塊(上圖中紅框中的4個模塊)放到一個子模塊中,取名mb_min_sys,如下圖。

70c309a0-a08a-11eb-8b86-12bb97331649.jpg

19. 創(chuàng)建頂層的top文件,并在top文件中例化bd文件??梢园裪nit_calib_complete和mmcm_locked這兩個信號抓出來,在下載程序后,這兩個信號必須都是高,不然DDR就工作不正常,肯定是中間某個環(huán)節(jié)配置有問題。具體top.v文件內(nèi)容見附錄。

20. 將工程綜合、實現(xiàn)、生成bit文件,并導(dǎo)出Hardware。

70e8feb2-a08a-11eb-8b86-12bb97331649.png

21. 打開sdk,新建Application Project,并按下面的步驟依次操作。

7129f552-a08a-11eb-8b86-12bb97331649.png

715055d0-a08a-11eb-8b86-12bb97331649.png

再選擇模板為HelloWorld,最后Finish。

716cc602-a08a-11eb-8b86-12bb97331649.png

22. 修改helloworld.c,見附錄,重新編譯,如果提示overflowed則把lscript.ld文件中的size改大。

718dc230-a08a-11eb-8b86-12bb97331649.png

運(yùn)行程序后,可以看到串口打印信息如下:

71b67716-a08a-11eb-8b86-12bb97331649.png

說明DDR3可以正常工作。

附錄

top.v

`timescale 1ns / 1ps

module top ( input clk_n, input clk_p, input UART_rxd, output UART_txd, output [15:0]ddr3_addr, output [2:0]ddr3_ba, output ddr3_cas_n, output [0:0]ddr3_ck_n, output [0:0]ddr3_ck_p, output [0:0]ddr3_cke, output [0:0]ddr3_cs_n, output [7:0]ddr3_dm, inout [63:0]ddr3_dq, inout [7:0]ddr3_dqs_n, inout [7:0]ddr3_dqs_p, output [0:0]ddr3_odt, output ddr3_ras_n, output ddr3_reset_n, output ddr3_we_n ); wire axi4_clk; wire axil_clk; reg axi4_rstn; wire axil_rstn; wire init_calib_complete; wire mmcm_locked;

wire ddr_rst;

always @ ( posedge axi4_clk ) begin axi4_rstn 《= axil_rstn; end

reg [8:0] cnt;

always @ ( posedge axil_clk ) begin if(~axil_rstn) cnt 《= ‘d0; else if(cnt==’d256) cnt 《= cnt ; else cnt 《= cnt + 1‘b1; end assign ddr_rst = (cnt==’d256)?1‘b0:1’b1; MicroBlaze_DDR3 MicroBlaze_DDR3_i

(.UART_rxd (UART_rxd ), .UART_txd (UART_txd ), .axil_clk (axil_clk ), .axi4_clk (axi4_clk ), .axi4_rstn (axi4_rstn ), .clk_in_clk_n (clk_n ), .clk_in_clk_p (clk_p ), .ddr3_addr (ddr3_addr ), .ddr3_ba (ddr3_ba ), .ddr3_cas_n (ddr3_cas_n ), .ddr3_ck_n (ddr3_ck_n ), .ddr3_ck_p (ddr3_ck_p ), .ddr3_cke (ddr3_cke ), .ddr3_cs_n (ddr3_cs_n ), .ddr3_dm (ddr3_dm ), .ddr3_dq (ddr3_dq ), .ddr3_dqs_n (ddr3_dqs_n ), .ddr3_dqs_p (ddr3_dqs_p ), .ddr3_odt (ddr3_odt ), .ddr3_ras_n (ddr3_ras_n ), .ddr3_reset_n (ddr3_reset_n ), .ddr3_we_n (ddr3_we_n ), .ddr_rst (ddr_rst ), .init_calib_complete (init_calib_complete ), .mmcm_locked (mmcm_locked ), .reset (1‘b0 ), .axil_rstn (axil_rstn ) );

endmodule

helloworld.c

#include《stdio.h》#include“platform.h”#include“xil_printf.h”

int main(){ init_platform(); print(“-------ddr3test----------------------

”); unsignedint*DDR_MEM = (unsignedint*)XPAR_MIG_7SERIES_0_BASEADDR; //write data to ddr3 *DDR_MEM =0x12345678; //read back unsignedint value =*(unsignedint *)XPAR_MIG_7SERIES_0_BASEADDR; xil_printf(“value= 0x%x

”, value);

cleanup_platform(); return 0;}
編輯:lyn

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598906
  • DDR3
    +關(guān)注

    關(guān)注

    2

    文章

    273

    瀏覽量

    42081
  • Virtex-7
    +關(guān)注

    關(guān)注

    0

    文章

    31

    瀏覽量

    17112

原文標(biāo)題:Virtex7 Microblaze下DDR3測試

文章出處:【微信號:HXSLH1010101010,微信公眾號:FPGA技術(shù)江湖】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    DDR3寄存器和PLL數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《DDR3寄存器和PLL數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 08-23 11:06 ?0次下載
    <b class='flag-5'>DDR3</b>寄存器和PLL數(shù)據(jù)表

    基于FPGA的DDR3多端口讀寫存儲管理設(shè)計

    的Kintex7系列XC7K410T FPGA芯片和兩片Micron公司的MT41J128M16 DDR3 SDRAM芯片為硬件平臺,設(shè)計并實現(xiàn)了基于FPGA的視頻圖形顯示系統(tǒng)的DDR3
    發(fā)表于 06-26 18:13

    華邦傾力挺進(jìn)DDR3市場,抓住轉(zhuǎn)單商機(jī)

    華邦自DDR2時期就深入物聯(lián)網(wǎng)、汽車、工業(yè)、電信等高附加值領(lǐng)域,而隨著制程升級至DDR3階段,該公司開始加大對DDR3產(chǎn)能建設(shè)的投資力度。高雄工廠今年引入了20納米設(shè)備,產(chǎn)能逐漸釋放,未來將成為華邦新制程DRAM產(chǎn)品的主要生產(chǎn)基
    的頭像 發(fā)表于 05-13 10:03 ?341次閱讀

    XC7K410T-FFG900外設(shè)之DDR3硬件設(shè)計方案分享

    在數(shù)據(jù)速率帶寬約束方面,DDR3運(yùn)行速度受限于其與K7-410T FPGA互聯(lián)的I/O Bank 管腳以及FPGA器件的速度等級。
    的頭像 發(fā)表于 04-12 10:03 ?1874次閱讀
    XC<b class='flag-5'>7</b>K410T-FFG900外設(shè)之<b class='flag-5'>DDR3</b>硬件設(shè)計方案分享

    全套DDRDDR2、DDR3、DDR3L、LPDDR3DDR4 電源解決方案同步降壓控制器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《全套DDRDDR2、DDR3DDR3L、LPDDR3DDR4 電源
    發(fā)表于 04-09 09:51 ?7次下載
    全套<b class='flag-5'>DDR</b>、<b class='flag-5'>DDR</b>2、<b class='flag-5'>DDR3</b>、<b class='flag-5'>DDR3</b>L、LPDDR<b class='flag-5'>3</b> 和 <b class='flag-5'>DDR</b>4 電源解決方案同步降壓控制器數(shù)據(jù)表

    完整DDR,DDR2,DDR3 和LPDDR3 存儲器電源解決方案同步降壓控制器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《完整DDR,DDR2,DDR3 和LPDDR3 存儲器電源解決方案同步降壓控制器數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 04-09 09:49 ?0次下載
    完整<b class='flag-5'>DDR</b>,<b class='flag-5'>DDR</b>2,<b class='flag-5'>DDR3</b> 和LPDDR<b class='flag-5'>3</b> 存儲器電源解決方案同步降壓控制器數(shù)據(jù)表

    完整的DDR2、DDR3DDR3L內(nèi)存電源解決方案同步降壓控制器TPS51216數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《完整的DDR2、DDR3DDR3L內(nèi)存電源解決方案同步降壓控制器TPS51216數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 03-13 13:58 ?0次下載
    完整的<b class='flag-5'>DDR</b>2、<b class='flag-5'>DDR3</b>和<b class='flag-5'>DDR3</b>L內(nèi)存電源解決方案同步降壓控制器TPS51216數(shù)據(jù)表

    適用于DDR2、DDR3DDR3L和DDR4且具有VTTREF緩沖基準(zhǔn)的TPS51206 2A峰值灌電流/拉電流DDR終端穩(wěn)壓器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《適用于DDR2、DDR3、DDR3L和DDR4且具有VTTREF緩沖基準(zhǔn)的TPS51206 2A峰值灌電流/拉電流DDR
    發(fā)表于 03-13 13:53 ?1次下載
    適用于<b class='flag-5'>DDR</b>2、<b class='flag-5'>DDR3</b>、<b class='flag-5'>DDR3</b>L和<b class='flag-5'>DDR</b>4且具有VTTREF緩沖基準(zhǔn)的TPS51206 2A峰值灌電流/拉電流<b class='flag-5'>DDR</b>終端穩(wěn)壓器數(shù)據(jù)表

    具有同步降壓控制器、2A LDO和緩沖基準(zhǔn)的TPS51716完整DDR2、DDR3、DDR3L、LPDDR3DDR4內(nèi)存電源解決方案數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《具有同步降壓控制器、2A LDO和緩沖基準(zhǔn)的TPS51716完整DDR2、DDR3、DDR3L、LPDDR3DDR4內(nèi)
    發(fā)表于 03-13 11:13 ?0次下載
    具有同步降壓控制器、2A LDO和緩沖基準(zhǔn)的TPS51716完整<b class='flag-5'>DDR</b>2、<b class='flag-5'>DDR3</b>、<b class='flag-5'>DDR3</b>L、LPDDR<b class='flag-5'>3</b>和<b class='flag-5'>DDR</b>4內(nèi)存電源解決方案數(shù)據(jù)表

    完整的DDRDDR2和DDR3內(nèi)存電源解決方案同步降壓控制器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《完整的DDR、DDR2和DDR3內(nèi)存電源解決方案同步降壓控制器數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 03-13 10:16 ?1次下載
    完整的<b class='flag-5'>DDR</b>、<b class='flag-5'>DDR</b>2和<b class='flag-5'>DDR3</b>內(nèi)存電源解決方案同步降壓控制器數(shù)據(jù)表

    AD9625的開發(fā)板AD-FMCADC3-EBZ能否與Virtex7直接連接?

    模數(shù)轉(zhuǎn)換器AD9625的評估板AD-FMCADC3-EBZ能不能和賽靈思的Virtex7系列FPGA開發(fā)板連接,我看到他們都具備JESD204B接口,物理接口上能直接連嗎?還是說需要在使用轉(zhuǎn)換接口來連接?
    發(fā)表于 12-08 08:25

    DDR3存儲廠迎漲價商機(jī) 華邦、鈺創(chuàng)、晶豪科等訂單涌進(jìn)

    法人方面解釋說:“標(biāo)準(zhǔn)型dram和nand目前由三星、sk hynix、美光等跨國企業(yè)主導(dǎo),因此,中臺灣企業(yè)在半導(dǎo)體制造方面無法與之抗衡?!痹?b class='flag-5'>ddr3 ddr3的情況下,臺灣制造企業(yè)表現(xiàn)出強(qiáng)勢。ddr3的價格也隨之上漲,給臺灣半
    的頭像 發(fā)表于 11-14 11:29 ?678次閱讀

    DDR4和DDR3內(nèi)存都有哪些區(qū)別?

    DDR4和DDR3內(nèi)存都有哪些區(qū)別? 隨著計算機(jī)的日益發(fā)展,內(nèi)存也越來越重要。DDR3DDR4是兩種用于計算機(jī)內(nèi)存的標(biāo)準(zhǔn)。隨著DDR4內(nèi)存
    的頭像 發(fā)表于 10-30 09:22 ?9835次閱讀

    闡述DDR3讀寫分離的方法

    DDR3是2007年推出的,預(yù)計2022年DDR3的市場份額將降至8%或以下。但原理都是一樣的,DDR3的讀寫分離作為DDR最基本也是最常用的部分,本文主要闡述
    的頭像 發(fā)表于 10-18 16:03 ?839次閱讀
    闡述<b class='flag-5'>DDR3</b>讀寫分離的方法

    DDR3DDR4的技術(shù)特性對比

    摘要:本文將對DDR3DDR4兩種內(nèi)存技術(shù)進(jìn)行詳細(xì)的比較,分析它們的技術(shù)特性、性能差異以及適用場景。通過對比這兩種內(nèi)存技術(shù),為讀者在購買和使用內(nèi)存產(chǎn)品時提供參考依據(jù)。
    發(fā)表于 09-27 17:42 ?2998次閱讀