0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Xilinx 7系列FPGA管腳是如何定義與Pinout文件下載

FPGA之家 ? 來源:簡書 ? 作者:FPGA技術(shù)實(shí)戰(zhàn) ? 2021-04-27 10:45 ? 次閱讀

引言: 我們在進(jìn)行FPGA原理圖和PCB設(shè)計時,都會涉及到FPGA芯片管腳定義和封裝相關(guān)信息,本文就Xilinx 7系列FPGA給出相關(guān)參考,給FPGA硬件開發(fā)人員提供使用。通過本文,可以了解到:

Xilinx 7系列FPGA管腳是如何定義的

原理圖設(shè)計時如何下載FPGA管腳文件(Pinout文件)

1.Xilinx7系列FPGA管腳定義

表1-1列出了7系列FPGA封裝中的管腳定義。注意:表1-12有單獨(dú)列出的專用通用用戶I/O,也有標(biāo)記IO_LXXY_ZZZ#或者I/O_XX_ZZZ_#標(biāo)識的多功能I/O,其中ZZZ代表一種或幾種附加的功能。如果多功能I/O不用做特殊用途,它們可以當(dāng)作普通I/O使用,這一點(diǎn)我們在硬件設(shè)計時要注意。

ef327e48-a67e-11eb-aece-12bb97331649.jpg

表1-1、Xilinx 7系列FPGA管腳定義

FPGA的器件管腳按照Bank進(jìn)行劃分,每個Bank獨(dú)立供電,以使FPGA I/O適應(yīng)不用電壓標(biāo)準(zhǔn),增強(qiáng)I/O設(shè)計的靈活性。每個用戶Bank包括50個I/O管腳或者24對差分對管腳(48個差分信號),Top和Bottom各一個單端管腳。圖1給出了K325T芯片用戶Bank IO原理圖舉例。

ef43bd20-a67e-11eb-aece-12bb97331649.jpg

圖1、K325T芯片用戶Bank IO原理圖

在圖中,我們可以看到紅色圈住的兩個單端信號,綠色線條圈住的_CC時鐘管腳不用作時鐘輸入時可以作為用戶I/O來使用,另外,還可以看到藍(lán)色標(biāo)記的VREF管腳,當(dāng)該BANK I/O用作DDR內(nèi)存接口時,需要提供偽差分所需的閾值電壓,此時_VREF_管腳需要接DDR外設(shè)要求的參考電壓。其他I/O管腳分析,可以參考表1-1管腳定義說明。

2.Xilinx7系列FPGA管腳Pinout文件下載

我們在進(jìn)行原理圖庫設(shè)計時,如何獲得FPGA每個管腳定義呢?在UG475官方文檔第二章7 Series FPGAs Package Files的ASCII Pinout Files子節(jié)中,按照FPGA器件家族和器件封裝分類,給出了7系列所有器件Pinout定義鏈接地址。官網(wǎng)給出CSV和TXT兩種格式Pinout文件,我們可以靈活選擇。

ef796556-a67e-11eb-aece-12bb97331649.jpg

圖2、FPGA Pinout下載鏈接

efa36ebe-a67e-11eb-aece-12bb97331649.jpg

圖3、Xilinx官網(wǎng)下載Pinout

我們打開一個.TXT形式的Pinout,如圖4所示??梢钥吹剑募譃?列,包含所有設(shè)計原理圖所需的關(guān)鍵信息:管腳編號、管腳名稱、管腳DDR內(nèi)存分組、管腳BANK編號、輔助組(VCCAUX)、超級邏輯域(SLR)、I/O管腳類型(配置、HR、HP、收發(fā)器管腳等)以及與器件Pin-to-Pin兼容相關(guān)的NC管腳信息。

efae3c0e-a67e-11eb-aece-12bb97331649.jpg

圖4、Pinout文件內(nèi)容舉例

編輯:lyn

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598983
  • Xilinx
    +關(guān)注

    關(guān)注

    70

    文章

    2137

    瀏覽量

    120397
  • 管腳
    +關(guān)注

    關(guān)注

    1

    文章

    223

    瀏覽量

    31859

原文標(biāo)題:Xilinx 7系列FPGA器件管腳原理圖設(shè)計說明

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    Xilinx 7系列FPGA PCB設(shè)計指導(dǎo)

    引言: 從本文開始,我們陸續(xù)介紹下有關(guān)7系列FPGA通用PCB設(shè)計指導(dǎo),重點(diǎn)介紹在PCB和接口級別做出設(shè)計決策的策略。由于FPGA本身也屬于數(shù)字集成電路,文章中的大部分設(shè)計策略及概念也
    發(fā)表于 07-19 16:56

    FPGA | Xilinx ISE14.7 LVDS應(yīng)用

    今天給大俠帶來 Xilinx ISE14.7 LVDS應(yīng)用,話不多說,上貨。 最近項(xiàng)目需要用到差分信號傳輸,于是看了一下FPGA上差分信號的使用。Xilinx FPGA中,主要通過
    發(fā)表于 06-13 16:28

    國產(chǎn)FPGA核心板!米爾紫光同創(chuàng)Logos-2和Xilinx Artix-7核心板

    PG2L100H的MYC-J2L100H核心板及開發(fā)板、基于Xilinx Artix-7系列的MYC-J7A100T核心板及開發(fā)板。 國產(chǎn)FPG
    發(fā)表于 05-31 17:40

    FPGA核心板 Xilinx Artix-7系列XC7A100T開發(fā)平臺,米爾FPGA工業(yè)開發(fā)板

    MYC-J7A100T核心板及開發(fā)板Xilinx Artix-7系列XC7A100T開發(fā)平臺,FPGA
    發(fā)表于 05-31 15:12 ?5次下載

    FPGA核心板上市!紫光同創(chuàng)Logos-2和Xilinx Artix-7系列

    PG2L100H的MYC-J2L100H核心板及開發(fā)板、基于XilinxArtix-7系列的MYC-J7A100T核心板及開發(fā)板。國產(chǎn)FPGA開發(fā)平臺紫光同創(chuàng)Log
    的頭像 發(fā)表于 05-30 08:01 ?859次閱讀
    <b class='flag-5'>FPGA</b>核心板上市!紫光同創(chuàng)Logos-2和<b class='flag-5'>Xilinx</b> Artix-<b class='flag-5'>7</b><b class='flag-5'>系列</b>

    想通過CYUSB3014配置xilinx FPGA,如何下載CYUSB3014的FPGA配置實(shí)用程序工具?

    你好 我想通過 CYUSB3014 配置 xilinx FPGA,如何下載 CYUSB3014 的 FPGA 配置實(shí)用程序工具? 謝謝。
    發(fā)表于 05-22 07:31

    Xilinx 7系列FPGA功能特性介紹

    Xilinx7系列FPGA由四個FPGA系列組成,可滿足一系列系統(tǒng)需求,從低成本、小尺寸、成本敏
    發(fā)表于 04-22 10:49 ?3759次閱讀
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>7</b><b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>功能特性介紹

    Xilinx fpga芯片系列有哪些

    Xilinx FPGA芯片擁有多個系列和型號,以滿足不同應(yīng)用領(lǐng)域的需求。以下是一些主要的Xilinx FPGA芯片
    的頭像 發(fā)表于 03-14 16:24 ?2460次閱讀

    AMD Xilinx 7系列FPGA的Multiboot多bit配置

    Multiboot是一種在AMD Xilinx 7系列FPGA上實(shí)現(xiàn)雙鏡像(或多鏡像)切換的方案。它允許在FPGA中加載兩個不同的配置鏡像,
    的頭像 發(fā)表于 02-25 10:54 ?1008次閱讀
    AMD <b class='flag-5'>Xilinx</b> <b class='flag-5'>7</b><b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>的Multiboot多bit配置

    簡述Xilinx 7系列FPGA芯片相關(guān)知識

    Xilinx 7系列 芯片 應(yīng)用非常廣泛,具有成本低、性能強(qiáng)悍、成熟穩(wěn)定的特點(diǎn),目前Xilinx( AMD )已延長該系列芯片的生命周期至少
    的頭像 發(fā)表于 11-28 10:20 ?917次閱讀
    簡述<b class='flag-5'>Xilinx</b> <b class='flag-5'>7</b><b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>芯片相關(guān)知識

    簡述Xilinx 7系列FPGA芯片相關(guān)知識

    Xilinx 7系列芯片應(yīng)用非常廣泛,具有成本低、性能強(qiáng)悍、成熟穩(wěn)定的特點(diǎn),目前Xilinx(AMD)已延長該系列芯片的生命周期至少到203
    發(fā)表于 11-27 09:26 ?699次閱讀
    簡述<b class='flag-5'>Xilinx</b> <b class='flag-5'>7</b><b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>芯片相關(guān)知識

    Xilinx 7系列FPGA中MMCM和PLL的區(qū)別

    7系列FPGA包含最多24個CMT塊,CMT具體的分布和與其他時鐘資源的關(guān)系請參考本合集(FPGA應(yīng)用開發(fā))的上一篇文章。本文主要介紹CMT內(nèi)部MMCM和PLL的區(qū)別以及在實(shí)際開發(fā)中怎
    的頭像 發(fā)表于 11-17 17:08 ?5053次閱讀
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>7</b><b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>中MMCM和PLL的區(qū)別

    SD卡管腳定義及C語言講解

    電子發(fā)燒友網(wǎng)站提供《SD卡管腳定義及C語言講解.pdf》資料免費(fèi)下載
    發(fā)表于 11-16 10:30 ?0次下載
    SD卡<b class='flag-5'>管腳</b><b class='flag-5'>定義</b>及C語言講解

    羅徹斯特電子攜手AMD/Xilinx可持續(xù)供應(yīng)Xilinx傳統(tǒng)FPGA產(chǎn)品

    羅徹斯特電子攜手AMD/Xilinx,為Xilinx傳統(tǒng)FPGA和相關(guān)配置PROM產(chǎn)品提供供貨支持。
    的頭像 發(fā)表于 11-07 09:04 ?431次閱讀

    #FPGA XILINX 下載器連接方法

    fpga下載
    明德?lián)P科技
    發(fā)布于 :2023年10月30日 15:22:40