0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

全網(wǎng)最全科普FPGA技術知識

FPGA之家 ? 來源:EDA365電子論壇 ? 作者:EDA365電子論壇 ? 2021-04-30 11:13 ? 次閱讀

FPGA 是可以先購買再設計的“萬能”芯片。FPGA (Field Programmable Gate Array)現(xiàn)場可編程門陣列,是在硅片上預先設計實現(xiàn)的具有可編程特性的集成電路,它能夠按照設計人員的需求配置為指定的電路結構,讓客戶不必依賴由芯片制造商設計和制造的 ASIC 芯片。廣泛應用在原型驗證、通信、汽車電子、工業(yè)控制、航空航天、數(shù)據(jù)中心等領域。

f7ab25be-a95f-11eb-9728-12bb97331649.jpg

Altera LUT4 架構

FPGA 硬件三大指標:制程、門級數(shù)及 SERDES 速率,配套 EDA 軟件工具同樣重要。比較 FPGA 產(chǎn)品可以從技術指標入手。從 FPGA 內(nèi)部結構來看,主要包括:可編程輸入/輸出單元(I/O)、可編程邏輯塊(LC)、 完整的時鐘管理(CMT)、嵌入塊式 RAM(BRAM)、布線資源、內(nèi)嵌的底層功能單元和專用硬件模塊等。

根據(jù)賽靈思披露的數(shù)據(jù),一個 LUT6 等效 1.6 個 LC,一個 LC 對應幾十到上百“門”,1000 萬門約等于 10 萬 LC,即 100K CLB 級別 FPGA。與 ASIC 不同的是,客戶在選購 FPGA 產(chǎn)品不僅考慮硬件參數(shù),配套 EDA 軟件的性能也同樣重要。目前國內(nèi)廠商高端產(chǎn)品在硬件性能指標上均與賽靈思高端產(chǎn)品有較大差距。

相對于ASIC,F(xiàn)PGA具有3點優(yōu)勢:

1、可編輯,更靈活

2、產(chǎn)品上市時間短,節(jié)省了 ASIC 流片周期

3、避免一次性工程費用,用量較小時具有成本優(yōu)勢。

1)靈活性:通過對 FPGA 編程,F(xiàn)PGA 能夠執(zhí)行 ASIC 能夠執(zhí)行的任何邏輯功能。FPGA 的獨特優(yōu)勢在于其靈活性,即隨時可以改變芯片功能,在技術還未成熟的階段,這種特性能夠降低產(chǎn)品的成本與風險,在 5G 初期這種特性尤為重要。

2)上市時間:由于 FPGA 買來編程后既可直接使用,F(xiàn)PGA 方案無需等待三個月至一年的芯片流片周期,為企業(yè)爭取了產(chǎn)品上市時間。

3)成本:FPGA 與 ASIC 主要區(qū)別在 ASIC 方案有固定成本而 FPGA 方案幾乎沒有,在使用量小的時候,F(xiàn)PGA 方案由于無需支付一次性百萬美元的流片成本,同時也不用承擔流片失敗風險,F(xiàn)PGA 方案的成本低于 ASIC,隨著使用量的增加,F(xiàn)PGA 方案在成本上的優(yōu)勢逐漸縮小,超過某一使用量后,ASIC 方案由于大量流片產(chǎn)生了規(guī)模經(jīng)濟,在成本上更有優(yōu)勢。

f7b66a82-a95f-11eb-9728-12bb97331649.jpg

FPGA 方案和 ASIC 方案成本比較

4)技術趨勢:制程迭代驅(qū)動 33 年發(fā)展,平臺型產(chǎn)品是未來。

1985 年賽靈思發(fā)明 FPGA 以來,其容量提高了一萬倍以上,速度提高了一百倍以上,價格和能耗縮小了一千倍以上。受到先進制程迭代的推動,F(xiàn)PGA 的架構不斷更新。1985 年,Xilinx 公司推出了全球第一款 FPGA 產(chǎn)品 XC2064,采用 2μm 工藝,包含 64 個邏輯模塊和 85,000 個晶體管,門數(shù)量不超過 1000 個。

對比 2016 年賽靈思發(fā)布的 VIRTEX UltraScale,16nm 制程,系統(tǒng)邏輯單元最高達378 萬個。FPGA 制程迭代在提高算力的同時降低了功耗,減小了芯片面積,推動了 FPGA 的性能提升。

未來,在技術趨勢上,制程迭代+平臺產(chǎn)品將是未來產(chǎn)品發(fā)展方向。我們?nèi)匀豢春孟冗M制程帶給 FPGA 的性能提升,同時新的產(chǎn)品形態(tài)(平臺型產(chǎn)品)的出現(xiàn)讓FPGA 性能有了進一步提升的可能。

Xilinx 和 Intel 相繼發(fā)布 ACAP 和 Agilex 平臺型產(chǎn)品,根據(jù) Xilinx 披露的數(shù)據(jù),新的平臺型產(chǎn)品速度超過當前最高速的 FPGA 20倍、比目前最快的 CPU 快 100 倍,該平臺面向數(shù)據(jù)中心、有線網(wǎng)絡、5G 無線和汽車駕駛輔助應用。產(chǎn)品進展方面,2019 年 6 月 19 日 Versal AI Core 及 Versal Prime系列組件小規(guī)模出貨,量產(chǎn)時間預計在 2019 下半年。

技術、專利、人才壁壘高,國產(chǎn)替代迎難而上

FPGA是一個技術壁壘高的行業(yè),有人認為FPGA公司就是半個 IC 設計公司+半個軟件公司,硬件結構復雜且良率低,軟硬協(xié)同再提研發(fā)難度。FPGA 企業(yè)的硬件開發(fā)部分屬于典型的 IC 設計企業(yè),與一般 IC設計企業(yè)不同的是。

由于 FPGA 硬件需要配套 EDA 軟件一起使用,F(xiàn)PGA 公司通常需要自行研發(fā)適配自家硬件的 EDA 軟件,因此也算半個 EDA 軟件公司。由于FPGA 版圖及布線復雜,硬件設計難度較大,加之軟件和硬件協(xié)同開發(fā),系統(tǒng)工程的難度再升級。

核心專利被頭部公司壟斷,國產(chǎn)廠商披荊斬棘艱難前行,專利有效期結束或帶來轉(zhuǎn)機。在專利上國外廠商目前占據(jù)絕對優(yōu)勢,Xilinx 和 Altera (Intel)在 FPGA 領域的專利數(shù)近 10,000 個,而國產(chǎn)廠商如紫光同創(chuàng)專利數(shù)僅約 200 項,相差懸殊。未來隨著部分專利的有效期結束,及國產(chǎn)廠商在新專利上的突破,專利上的壟斷格局或迎來轉(zhuǎn)機。

半導體產(chǎn)業(yè)鏈國產(chǎn)化程度低,硬件自主可控進程難以阻擋,國產(chǎn)當自強。產(chǎn)業(yè)鏈角度來看,硬件產(chǎn)業(yè)鏈中目前自主可控程度較低,尤其在高端半導體設備和材料領域,未來產(chǎn)業(yè)鏈上下游國產(chǎn)替代進程的推進也將助力國產(chǎn) FPGA 加速發(fā)展。

硬件部分上游:EDA+IP。硬件開發(fā)用的EDA仍是Cadence、Synopsys及 MentorGraphics,IP來源包括外部授權和內(nèi)部開發(fā)。

硬件部分下游:代工廠+封測。其中代工廠國內(nèi)廠商主要與臺積電及中芯國際合作,封測主要和日月光等合作。

f7c6b87e-a95f-11eb-9728-12bb97331649.jpg

FPGA 硬件產(chǎn)業(yè)鏈

全球 63 億美元市場,Xilinx 與 Intel雙寡頭

FPGA 是集成電路大產(chǎn)業(yè)中的小領域,5G 和 AI 為行業(yè)增長提供確定性,國產(chǎn)替代疊加行業(yè)增長,國產(chǎn) FPGA 市場騰飛在即。根據(jù) WSTS 的數(shù)據(jù),2018 年全球集成電路市場規(guī)模達到 4,688 億美元,同期全球 FPGA 市場規(guī)模約 63 億美元,僅占集成電路市場約 1.34%。

市場雖小,但未來受益于 5G 基礎設施全球布局及 AI技術持續(xù)發(fā)展,F(xiàn)PGA 行業(yè)需求量增長具確定性。行業(yè)增長下,國產(chǎn)替代進程將進一步加速國產(chǎn) FPGA 的增長。根據(jù)中國半導體行業(yè)協(xié)會的數(shù)據(jù),2017 年國內(nèi)FPGA 市場國產(chǎn)率低于 1%,隨著技術突破,國產(chǎn) FPGA 騰飛在即。

f7d5db10-a95f-11eb-9728-12bb97331649.jpg

全球 FPGA 市場規(guī)模持續(xù)攀升,亞太是 FPGA 主要市場,未來產(chǎn)業(yè)發(fā)展可期。根據(jù) Gartner 的數(shù)據(jù),全球 FPGA 市場規(guī)模 2019 年達到 69 億美元,2025 年達到 125億美元,未來市場增速穩(wěn)中有升。亞太區(qū)占比達到 42%,是 FPGA 主要市場,中國 FPGA 市場規(guī)模約 100 億人民幣,未來隨著中國 5G 部署及 AI 技術發(fā)展,國內(nèi)FPGA 規(guī)模有望進一步擴大。

f7e6b084-a95f-11eb-9728-12bb97331649.jpg

全球 FPGA 市場規(guī)模

國外三巨頭占據(jù) 90%全球市場,國產(chǎn)廠商暫時落后。FPGA 市場呈現(xiàn)雙寡頭壟斷格局,Xilinx 和 Intel 分別占據(jù)全球市場 56%和 31%,在中國 FPGA 市場中,占比也高達 52%和 28%,由于技術、資金、人才上的壁壘及 FPGA 量產(chǎn)帶來的規(guī)模經(jīng)濟,行業(yè)領導者地位較為穩(wěn)定。

f7f6651a-a95f-11eb-9728-12bb97331649.jpg

全球 FPGA 市場份額按公司分布

國產(chǎn)廠商目前在中國市場占比約 4%,未來隨著國產(chǎn)廠商技術突破,F(xiàn)PGA 領域國產(chǎn)替代或?qū)⑹前賰|級的機會,替代空間廣闊。

fb406bbc-a95f-11eb-9728-12bb97331649.jpg

中國 FPGA 市場份額按公司分布

5G+AI 催化行業(yè)增長,下游需求欲乘風而起

通信、消費電子、汽車是 FPGA 下游存量應用場景,市場規(guī)模持續(xù)增長。由于相對于 ASIC 的三方面優(yōu)勢(靈活性、上市時間、成本),F(xiàn)PGA 的下游應用場景較為豐富。

包括:ASIC 原型設計、汽車、收發(fā)器、消費電子、數(shù)據(jù)中心、高性能計算、工業(yè)、醫(yī)療、測試/測量、有線/無線通信等。其中通信、消費電子和汽車是主要應用場景,2017 年三塊場景 FPGA 需求占總需求比例達到 79.4%,市場規(guī)模持續(xù)擴大。

fb4cfb84-a95f-11eb-9728-12bb97331649.jpg

FPGA 市場規(guī)模按下游應用場景分

應用場景會保持分散的格局,ASIC 在成本上的規(guī)模經(jīng)濟限制了 FPGA 應用場景的集中度,F(xiàn)PGA 不可替代性奠定市場基礎。由于 ASIC 具有明顯的規(guī)模經(jīng)濟,當單一芯片產(chǎn)品用量極大時(一般為超過 10 萬片),使用 ASIC 方案將有成本優(yōu)勢。

因此 FPGA 的應用場景被限制在了用量小、技術不穩(wěn)定、靈活性需求高的領域,一旦技術成熟且需求放量,終端廠商就會考慮 ASIC 方案替代 FPGA 方案來降低成本。

但由于 FPGA 在用量小、技術不穩(wěn)定及靈活性需求高的領域具有不可替代性,F(xiàn)PGA 的市場基礎穩(wěn)固,未來量的增長主要看新技術帶來的新周期。

國產(chǎn) FPGA 廠商產(chǎn)品下游應用場景更偏重消費電子,未來在通信市場的增長值得期待。根據(jù) Xilinx 的年報,2019 財年(財年結日為 2019 年 3 月 30 日)其產(chǎn)品終端應用場景及占比為通信(36%)、工業(yè)航空及國防(28%)、數(shù)據(jù)中心及封測醫(yī)療仿真(20%)、汽車廣播及消費電子(15%)。

而國產(chǎn) FPGA 廠商由于產(chǎn)品性能相對落后,在高端民用領域暫時不具有競爭力,但在 LED 顯示、工業(yè)等領域出貨量較大。隨著國內(nèi)廠商技術突破,未來在通信市場份額的提高將開啟國產(chǎn) FPGA廠商增長。

1)全球 5G 浪潮席卷而來,F(xiàn)PGA 量價齊升在即

FPGA 是 5G 基礎設施和終端設備的零部件,5G 全球部署持續(xù)推進,基站、IoT、終端設備、邊緣計算的 FPGA 用量將顯著提升。通信是 FPGA 下游應用場景中規(guī)模最大的分支,根據(jù) MRFR 的數(shù)據(jù),F(xiàn)PGA 通信市場規(guī)模 2017 年達 23.5 億美元,占整體 FPGA 應用市場比例超 40%,2017-2025 年 CAGR 預計 8%。

全球 5G 基礎設施建設進程下,F(xiàn)PGA 作為核心零部件,用量也會隨之提升,5G 應用中,IoT、終端設備及邊緣計算領域的 FPGA 需求也將增長。未來在通信市場的增長具有確定性。

相比較 CPU 和 GPU,通信領域 FPGA 在 I/O 、運算速度及延遲上均具有優(yōu)勢。在 5G 建設初期,F(xiàn)PGA 可以被用于基站天線的收發(fā)器中,5G 時代 Massive MIMO技術讓收發(fā)通道數(shù)從 16T16R 提高到 64T64R 甚至 128T128R,F(xiàn)PGA 可以用于多通道信號波束成形。目前業(yè)界在 FPGA 和 ASIC 方案的選擇上具有差異,京信通信等公司采用更加靈活的 FPGA 方案。

fb59b568-a95f-11eb-9728-12bb97331649.jpg

64 通道毫米波 MIMO 全 DBF 收發(fā)器簡化框圖

以一個 64 通道毫米波 MIMO 全 DBF 收發(fā)器為例,如圖收發(fā)器分為兩部分,左半部分為中頻&基帶子系統(tǒng),包涵 Xilinx 的 Kintex-7 FPGA;右半部分為毫米波收發(fā)器射頻前端,包涵中頻振蕩器電源管理模組等。每一個基帶子板(baseband daughter board)中都具有一塊 Xilinx’s Kintex-7 FPGA,2 個雙通道 12-bit ADC,1 個4 通道 16-bit DAC,2 個 QSFP+光接口用于通用無線接入。

5G時代,F(xiàn)PGA 面臨價提量升

價提:FPGA 主要用在收發(fā)器的基帶中,5G 時代由于通道數(shù)的增加,計算復雜度增加,所用 FPGA 的規(guī)模將增加,由于 FPGA 的定價與片上資源正相關,未來通信領域 FPGA 單顆成本也將上升,目前基站收發(fā)器中的 FPGA 單價通常在幾百元人民幣的范圍,未來有望進一步提高。收發(fā)器的主要成本和功耗由基帶部分貢獻,未來技術復雜度將再次推升收發(fā)器成本,進而傳導到基站 AAU 價格上升。

量增:5G 帶來的出貨量提高來源于兩方面,

1. 通信基站數(shù)量提高帶動 FPGA 零部件用量提高。5G 初期基站鋪設數(shù)量環(huán)比提高,另一方面由于 5G 信號衰減較快,小基站需求量巨大,未來十年有望超 1000 萬座,同比 4G 時期增長明顯。

2. 單基站 FPGA 用量提高帶動 FPGA 通信市場用量整體提高。由于 5G Massive MIMO的高并發(fā)處理需求,單基站 FPGA 用量有望從 4G 時期 2-3 塊提高到 5G 時期 4-5塊,將帶動 FPGA 整體用量。

2)自動駕駛漸成熟,增量空間大門打開

汽車半導體 389 億市場規(guī)模,F(xiàn)PGA 目前僅占 2.4%,自動駕駛發(fā)展將提高 FPGA價值占比。汽車芯片分為主控芯片和功能芯片(MCU)。主控芯片包括 GPU、FPGA、ASIC 等,F(xiàn)PGA 在汽車多個領域都有應用,尤其在相機和傳感器中的應用已經(jīng)相對成熟。

fbbc9390-a95f-11eb-9728-12bb97331649.jpg

汽車半導體市場規(guī)模

根據(jù) Bloomberg 數(shù)據(jù),汽車半導體市場規(guī)模 2017 年達到 388.6 億美元,其中 FPGA 為 9.5 億美元,占比僅 2.44%。FPGA 依托其靈活性及并行處理能力,在汽車的攝像頭及激光雷達領域應用廣泛。自動駕駛技術的發(fā)展將提高 FPGA 在汽車半導體中的價值占比。

fbf804f2-a95f-11eb-9728-12bb97331649.jpg

汽車半導體市場份額

FPGA 巨頭搶道智能駕駛,L5 級產(chǎn)品值得期待。目前賽靈思布局主要在 L1-L3,NVIDIA 和 Intel 的最新系列產(chǎn)品劍指 L5 完全自動駕駛。但是由于政策影響加上自動駕駛事故頻發(fā),即使技術達到 L5 級別,仍無法在全球道路上實現(xiàn) L5 操作。

2018 年賽靈思完成對深鑒科技的收購后進一步加強其在汽車領域的布局。目前賽靈思的 FPGA 自動駕駛解決方案的客戶包括百度、海康威視、中科慧眼、元橡科技、極目科技等。截至 2018 年,賽靈思的產(chǎn)品在 29 個 OEM 品牌的 111 種車型上得到了應用。在激光雷達芯片領域,賽靈思占有約 90%的市場。

fc04fac2-a95f-11eb-9728-12bb97331649.png

賽靈思汽車領域應用車型數(shù)持續(xù)提高

FPGA 在未來汽車中主要應用在 ADAS/AD 系統(tǒng)、馬達控制、激光雷達、車載信息娛樂系統(tǒng)和駕駛員信息系統(tǒng),成長空間可期。以 FPGA 巨頭 Xilinx 為例,賽靈思在汽車上已經(jīng)形成了自生成熟的閉環(huán)的生態(tài)系統(tǒng)。

提供從高級駕駛員輔助駕系統(tǒng)(ADAS)、自動駕駛(AD)、激光雷達到車載信息娛樂系統(tǒng)(IVI)和駕駛員信息(DI)的全方面支持。ADAS/AD 領域是賽靈思未來中長期的增長點。而汽車級 ACAP 平臺的推出則是實現(xiàn)自動化駕駛 L4 的基礎。未來智能駕駛技術逐漸成熟,F(xiàn)PGA 用量提升空間巨大。

fc2dcdf8-a95f-11eb-9728-12bb97331649.jpg

汽車領域芯片應用

3)數(shù)據(jù)中心部署 FPGA,AI 加速卡拉動 FPGA 需求

數(shù)據(jù)中心邏輯芯片是百億美元市場,低延遲+高吞吐奠定 FPGA 核心優(yōu)勢。根據(jù)Intel 披露的數(shù)據(jù),數(shù)據(jù)中心領域邏輯芯片市場規(guī)模 2017 年達 25 億美元,2022 年有望達到 80-100 億美元。數(shù)據(jù)中心 FPGA 主要用在硬件加速,相比 GPU,F(xiàn)PGA在數(shù)據(jù)中心的核心優(yōu)勢在于低延遲及高吞吐。

微軟 Catapult 項目在數(shù)據(jù)中心使用FPGA 代替 CPU 方案后,處理 Bing 的自定義算法時快出 40 倍,加速效果顯著。數(shù)據(jù)中心對芯片性能有較高要求,硬件即服務模式下,未來更多數(shù)據(jù)中心采納FPGA 方案將提高 FPGA 在數(shù)據(jù)中心芯片中的價值占比。

AI 應用場景的 FPGA 市場規(guī)模

AI 場景中 FPGA 市場規(guī)模 2023 年有望達 52 億美元,未來五年復合增速達 38.4%。FPGA 由于其靈活性及高速運算能力,在 AI 加速卡領域應用廣泛,根據(jù) Semico Research 的數(shù)據(jù),AI 領域 FPGA 市場規(guī)模 2023 年有望達 52 億美元,相比于目前63 億美元的 FPGA 市場,AI 領域的應用不可小覷。

FPGA 在 AI 領域處理效率及靈活性具有顯著優(yōu)勢,未來伴隨 AI 技術發(fā)展迎來增長。在加速二值化神經(jīng)網(wǎng)絡(BNN)中比較 FPGA、CPU、GPU 和 ASIC,F(xiàn)PGA提供了超過 CPU 和 GPU 的效率。即使 CPU 和 GPU 提供高峰理論性能,它們也沒有得到有效利用,因為 BNN 依賴于更適合定制硬件的二進制 bit 級操作。盡管ASIC 仍然更高效,但 FPGA 具有更高的靈活性,無需鎖定固定的 ASIC 解決方案。

國產(chǎn)替代疊加行業(yè)增長,國產(chǎn)廠商將進入增長快車道

全球 FPGA 市場在 5G 和 AI 兩大驅(qū)動因子下未來預計享有穩(wěn)健增長,而目前國內(nèi) 100 億人民幣市場中,國產(chǎn)廠商占比僅 4%,國產(chǎn)率極低。未來隨著國產(chǎn) FPGA廠商技術突破,國產(chǎn)替代進程下,替代廠商增速有望顯著高于行業(yè)平均,估值和業(yè)績有望雙增。我們認為國產(chǎn)替代仍是 FPGA 行業(yè)投資主線,建議關注國產(chǎn) FPGA廠商及其相關公司的投資機會。

國內(nèi) FPGA 廠商主要有 8 家:紫光同創(chuàng)、國微電子、成都華微電子、安路科技、智多晶、高云半導體、上海復旦微電子和京微齊力。目前營收規(guī)模均較小,國產(chǎn)FPGA 目前還處于起步期,專利數(shù)和國外企業(yè)有較大差距。產(chǎn)品角度來看,國產(chǎn)FPGA 在硬件性能指標上也遠落后于 Xilinx 及 Altera。

近年來國產(chǎn) FPGA 雖落后但追趕進度較快,繼紫光同創(chuàng)開發(fā)出中國唯一一款自處產(chǎn)權千萬門級高性能 FPGA PGT180H 以來,上海復旦微電子于 2018 年 5 月在第二屆中國高??萍汲晒灰讜习l(fā)布了新一代自主知識產(chǎn)權億門級 FPGA 產(chǎn)品,新產(chǎn)品,填補了國內(nèi)超大規(guī)模億門級 FPGA 的空白。未來隨著更多企業(yè)技術突破,國產(chǎn)替代進程將持續(xù)推進。

國內(nèi) FPGA 主要玩家

之前京微齊力創(chuàng)始人王海力在接受半導體行業(yè)觀察采訪的時候也談到,我們國產(chǎn)FPGA正在拉近與Lattice等廠商的距離,國產(chǎn)FPGA也正在逐步吞噬Lattice和一部分小容量的Xilinx/Intel的市場和客戶。替代10K/20K邏輯處理和CPLD市場,在LED顯示以及消費屏顯等方面,國內(nèi)客戶也開始考慮國內(nèi)FPGA廠商的芯片與方案。

對于國產(chǎn)FPGA的未來發(fā)展,王海則認為,國內(nèi)廠商仍需堅持走自主可控+自主創(chuàng)新這條路,在FPGA內(nèi)核、異構計算技術、芯片工藝制程與封裝實現(xiàn)、EDA工具鏈與軟件處理能力、以及應用軟IP建設等多方面下苦功夫,逐步構建國產(chǎn)FPGA芯片+應用生態(tài)圈。

當前全球集成電路的發(fā)展呈現(xiàn)融合互動、綜合競爭、跨越創(chuàng)新的特點,高端FPGA芯片的競爭已不單純是FPGA芯片自身的競爭,而更多地體現(xiàn)在其芯片基礎架構與軟件工具與應用滲透上的創(chuàng)新,以及與FPGA芯片協(xié)同的上下游產(chǎn)業(yè)生態(tài)建設上的競爭。

上海安路信息科技有限公司副總經(jīng)理黃志軍在第三屆中國(上海)集成電路產(chǎn)業(yè)發(fā)展高峰論壇上也表示,國產(chǎn)FPGA與國際廠商還有很大的水平差距。首先是制造工藝方面,國產(chǎn)廠商目前達到28nm,但國際廠商已達7nm;

其次是硬件架構方面,國外廠商已經(jīng)達到ACAP異構NOC,而國產(chǎn)廠商仍然是傳統(tǒng)FPGA整列架構以及單核CP;在軟件能力方面,國內(nèi)目前只有兩家具有商用軟件全流程技術、其他都需要外購邏輯綜合工具;

在產(chǎn)品豐富度方面,國產(chǎn)廠商只有3個系列10余款芯片,而國外廠商已經(jīng)發(fā)展到10代,擁有30個系列、數(shù)百款芯片;在應用領域方面,國產(chǎn)廠商僅發(fā)展到通信設備、工業(yè)控制、消費電子的部分領域,而國外廠商已經(jīng)實現(xiàn)大部分領域通用。

黃志軍總結道,中國FPGA的新起點在于,首先通信用FPGA需要最高的民品質(zhì)量保證,同時低價永遠不是可持續(xù)的,最后創(chuàng)新高性能產(chǎn)品才是國產(chǎn)廠商的目標。想要拉近與國際廠商的距離,國產(chǎn)廠商需要突破國產(chǎn)FPGA技術瓶頸,突破國產(chǎn)FPGA芯片的技術瓶頸突破國產(chǎn)FPGA的質(zhì)量瓶頸。以“質(zhì)量第一”為目標,當中國FPGA產(chǎn)業(yè)進入“質(zhì)量取勝”的時代時,勝利也就來了。

高云半導體研發(fā)副總裁王添平也在同一個會議上談到,目前國產(chǎn)FPGA廠商與國外巨頭相比,仍然存在較大差距。但國內(nèi)也有不少廠商在不斷努力。雖然生產(chǎn)規(guī)模相對較小,但目前商用廠家及市場已經(jīng)開發(fā)產(chǎn)品集成中在低密度或者中密度;

排名前幾名自主FPGA軟件基本可用;出貨集中在中低密度,相互競爭激烈;對國外產(chǎn)品有一定壓力;量產(chǎn)良率、可靠性管控,隨出貨量上揚得到有效提升;有經(jīng)驗的研發(fā)、市場人才缺乏,大多通過挖角或培養(yǎng);芯片性能接近或部分超出國外同類產(chǎn)品;

單顆芯片出貨累計百萬、千萬,行業(yè)中國仍然是屈指可數(shù)。

同時研究所或非商業(yè)廠家軟硬件已經(jīng)開始逐步進入正向開發(fā),并且研究的器件規(guī)模較大。

王添平進一步指出,對于國產(chǎn)FPGA廠商來說,目前不少優(yōu)秀國際人才的加盟給國產(chǎn)企業(yè)添加了新的活力。市場也給國產(chǎn)FPGA提供了千載難逢的機遇,國家政策在支持與傾斜,國內(nèi)整體集成電路發(fā)展水平也在提升,因此現(xiàn)在正是國產(chǎn)FPGA廠商發(fā)展的良好機遇。但國內(nèi)FPGA廠商也存在器件規(guī)模相對較小,軟硬件性能有一定差距,量產(chǎn)管理欠缺以及人才分散的問題。王添平提出,國內(nèi)FPGA廠商應該抓住國產(chǎn)替代存量市場,深入5G、AI邊緣,消費等新需求,布局全球。同時鼓勵公司創(chuàng)新、國際國內(nèi)專利申請,逐步形成競爭力。

紫光同創(chuàng)市場總監(jiān)呂喆在日前接受半導體行業(yè)觀察采訪時也強調(diào),F(xiàn)PGA門檻非常高,F(xiàn)PGA這條賽道可以說是一條崎嶇的山路,幾十年來一直被少數(shù)幾家美國廠商壟斷。而我國FPGA起步較晚,加之國外企業(yè)在技術和專利方面的壁壘。

國內(nèi)在整個FPGA產(chǎn)業(yè)鏈上與國外差距依然非常大,包括在技術積累、專利數(shù)量、人才儲備、制程工藝、邏輯規(guī)模、性能指標、生產(chǎn)和供應鏈能力、研發(fā)投入、生態(tài)和行業(yè)整合能力等多個方面。

在他看來,對于國內(nèi)FPGA企業(yè)而言,首要任務是提升產(chǎn)品性能、穩(wěn)定性及易用性等,不斷地加快產(chǎn)品迭代速度,只有這樣才能逐步縮小差距。作為國內(nèi)FPGA領導廠商,紫光同創(chuàng)在高、中、低端產(chǎn)品都有全方位的布局,全面覆蓋通信、網(wǎng)絡安全、工業(yè)控制、數(shù)據(jù)中心、消費電子等多個應用領域,不但保障了國內(nèi)企業(yè)產(chǎn)品研發(fā),還在部分領域?qū)崿F(xiàn)了國產(chǎn)化應用。

編輯:jq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1620

    文章

    21510

    瀏覽量

    598921
  • 半導體
    +關注

    關注

    334

    文章

    26331

    瀏覽量

    210008
  • cpu
    cpu
    +關注

    關注

    68

    文章

    10702

    瀏覽量

    209371

原文標題:一文最全科普FPGA技術知識

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關推薦

    知識分享 | 輕松實現(xiàn)優(yōu)質(zhì)建模

    知識分享在知識分享欄目中,我們會定期與讀者分享來自MES模賽思的基于模型的軟件開發(fā)相關Know-How干貨,關注公眾號,隨時掌握基于模型的軟件設計的技術知識。輕松實現(xiàn)優(yōu)質(zhì)建模前言在基于模型的開發(fā)
    的頭像 發(fā)表于 09-12 08:08 ?196次閱讀
    <b class='flag-5'>知識</b>分享 | 輕松實現(xiàn)優(yōu)質(zhì)建模

    UWB技術知識科普

    UWB(Ultra-WideBand) 超寬帶,是一種類似于藍牙、WIFI等近距離通信的無線通信技術。為什么叫超寬帶呢?兩個原因:第一是可用頻譜帶寬 (OBW) 很寬,F(xiàn)CC分配給它的寬帶從
    的頭像 發(fā)表于 07-25 14:15 ?376次閱讀
    UWB<b class='flag-5'>技術知識</b><b class='flag-5'>科普</b>

    科普 | 一文了解FPGA

    架構FPGA 硬件三大指標:制程、門級數(shù)及 SERDES 速率,配套 EDA 軟件工具同樣重要。比較 FPGA 產(chǎn)品可以從技術指標入手。從 FPGA 內(nèi)部結構來看,主要包括:可編程輸
    發(fā)表于 07-08 19:36

    一文了解FPGA技術知識

    FPGA是可以先購買再設計的“萬能”芯片。FPGA(FieldProgrammableGateArray)現(xiàn)場可編程門陣列,是在硅片上預先設計實現(xiàn)的具有可編程特性的集成電路,它能夠按照設計人員的需求
    的頭像 發(fā)表于 06-29 08:11 ?1565次閱讀
    一文了解<b class='flag-5'>FPGA</b><b class='flag-5'>技術知識</b>

    科普EEPROM 科普 EVASH Ultra EEPROM?科普存儲芯片

    科普EEPROM 科普 EVASH Ultra EEPROM?科普存儲芯片
    的頭像 發(fā)表于 06-25 17:14 ?322次閱讀

    最全光纖涂覆系列技術分享(剝除、切割、熔接、涂覆一體化)

    最全光纖涂覆系列技術分享(剝除、切割、熔接、涂覆一體化)
    發(fā)表于 06-06 11:38 ?0次下載

    模擬電子技術知識點問題總結概覽

    給大家分享模擬電子技術知識點問題總結。
    的頭像 發(fā)表于 05-08 15:16 ?956次閱讀
    模擬電子<b class='flag-5'>技術知識</b>點問題總結概覽

    哪有FPGA的verilog編程基礎知識?

    沒接觸過FPGA開發(fā),那個verilog編程有什么入門基礎知識學習的?
    發(fā)表于 04-29 23:09

    有獎征文!第二屆電力電子科普作品創(chuàng)作大賽(中國電源學會和英飛凌聯(lián)合主辦)

    ,這位幕后英雄——電力電子技術,往往并不為大眾所熟知。 你,作為電力電子行業(yè)的辛勤耕耘者,是否曾想過,將你的知識以通俗的語言分享給更多的人?中國電源學會舉辦的電力電子科普作品創(chuàng)作大賽,為你搭建了一個展
    發(fā)表于 04-11 11:38

    科普 | 一文了解FPGA技術知識

    科技成果交易會上發(fā)布了新一代自主知識產(chǎn)權億門級 FPGA 產(chǎn)品,新產(chǎn)品,填補了國內(nèi)超大規(guī)模億門級 FPGA 的空白。未來隨著更多企業(yè)技術突破,國產(chǎn)替代進程將持續(xù)推進。 國內(nèi)
    發(fā)表于 03-08 14:57

    FPGA基礎知識介紹

    電子發(fā)燒友網(wǎng)站提供《FPGA基礎知識介紹.pdf》資料免費下載
    發(fā)表于 02-23 09:45 ?24次下載

    三維視覺測量技術知識科普

    三維視覺測量技術按照測量過程中是否投射光源,獲取被測物體三維形貌信息的方法可以分為兩大類: 被動視覺測量和主動視覺測量。
    的頭像 發(fā)表于 01-03 11:47 ?704次閱讀
    三維視覺測量<b class='flag-5'>技術知識</b><b class='flag-5'>科普</b>

    OFDM技術知識

    電子發(fā)燒友網(wǎng)站提供《OFDM技術知識點.rar》資料免費下載
    發(fā)表于 11-18 14:25 ?0次下載
    OFDM<b class='flag-5'>技術知識</b>點

    如何學習FPGA?FPGA學習必備的基礎知識

    FPGA已成為現(xiàn)今的技術熱點之一,無論學生還是工程師都希望跨進FPGA的大門。網(wǎng)絡上各種開發(fā)板、培訓班更是多如牛毛,仿佛在告訴你不懂FPGA你就OUT啦。那么我們要玩轉(zhuǎn)
    的頭像 發(fā)表于 10-27 17:43 ?684次閱讀

    科普|電源管理知識

    科普|電源管理知識
    的頭像 發(fā)表于 10-17 16:31 ?497次閱讀
    <b class='flag-5'>科普</b>|電源管理<b class='flag-5'>知識</b>