0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

晶圓代工五虎你們知道是哪五虎嗎?

傳感器技術(shù) ? 來源:半導體行業(yè)觀察 ? 作者:半導體行業(yè)觀察 ? 2021-05-13 14:26 ? 次閱讀

市場對晶圓代工產(chǎn)能的需求從未像當下這么強烈,從成熟制程到先進制程,幾乎全線處于供不應求的狀態(tài)。在這種情況下,能夠通吃成熟和先進制程的晶圓代工廠就可以在市場上處于優(yōu)勢地位,誰覆蓋的面更廣,誰就能在競爭中占據(jù)上風。

從排名來看,也基本體現(xiàn)出了這樣的局面,特別是全球排名前五的晶圓代工廠,分別是臺積電、三星、聯(lián)電、格芯、中芯國際。

這幾家都有成熟制程和先進制程產(chǎn)線,但所占比例各不相同,其中,臺積電的先進制程最強,所占比重最高,三星緊隨其后,這是它們排名前二的重要原因;

聯(lián)電和格芯都以成熟制程為主,有一部分先進制程;中芯國際則以成熟制程為主,先進制程總體處于生長、起步階段。

以節(jié)點來看,成熟制程和先進制程很難有明確的劃分,本文則以28nm為分界線,小于28nm的節(jié)點為先進制程,反之則為成熟制程,另外,每家公司都有各自的特殊制程,相應的節(jié)點會分布在28nm左右兩側(cè)。下面就看一下全球晶圓代工“五虎”近一年內(nèi)在成熟和先進制程方面的發(fā)展和表現(xiàn)。

臺積電

提到臺積電,先進制程已經(jīng)成為了該公司的名片,特別是7nm、5nm和3nm。

7nm方面,臺積電已經(jīng)在這個節(jié)點上獲得了超過200個NTO,且大多投入量產(chǎn)。臺積電已經(jīng)生產(chǎn)了超過10億顆7nm芯片。在7nm時代,臺積電還率先推出了使用EUV技術(shù)的7nm+工藝。在7nm基礎上,該公司推出了6nm工藝,這個平臺的一個主要特點是與7nm工藝平臺兼容,這樣,客戶很容易把7nm的設計移植到6nm。

2020年,臺積電實現(xiàn)了5nm的量產(chǎn),與7nm相比,新工藝的速度提升了15%,功耗降低了30%,而邏輯密度則是前者的1.8倍。在良率方面,新工藝的進展也非常順利。與此同時,該公司還推出了增強版的N5P工藝制程,晶體管的速度提升了5%,功耗降低了10%,這將給HPC帶來新的機會。

此外,臺積電還基于N5平臺推出了N4工藝,其速度、功耗和密度都有了改善。其最大的優(yōu)勢同樣是在于其與N5的兼容,使用5nm工藝設計的產(chǎn)品能夠輕易地轉(zhuǎn)移到4nm的平臺上。這也能保證臺積電客戶在每一代的投資,都能獲得更好的效益。N4試產(chǎn)將在2021年第四季度,而量產(chǎn)將會在2022年實現(xiàn)。

目前,臺積電正在為3nm制程工藝量產(chǎn)做著準備,在這代工藝上,臺積電會繼續(xù)采用FinFET。與5nm相比,臺積電3nm的速度將提升10%到15%,功耗將提升25%到30%,邏輯密度將是前者的1.7倍,SRAM密度也將能提升20%,就連模擬密度也提升了10%。根據(jù)臺積電規(guī)劃,3nm工藝將在2022年下半年進行量產(chǎn)。

臺積電不僅在先進制程方面處于霸主地位,在成熟和特殊制程領域同樣名列前茅。臺積電能提供MEMS、圖像傳感器、嵌入式NVM,RF、模擬、高電壓和BCD功率IC等制程工藝。臺積電在基本的邏輯技術(shù)基礎上,會加上先進的ULL&SRAM、RF&Analog及eNVM技術(shù),實現(xiàn)低功耗以及模擬技術(shù)的提升。

為了實現(xiàn)低功耗,臺積電可提供0.18um eLL、90nm ULP、55ULP等制程,同時,臺積電還推出了最新的FinFET技術(shù)-N12e,可以打造高效高能的產(chǎn)品。

臺積電在Sensor,Stacking和ASIC(ISP)方面都在延續(xù)自己的技術(shù)。Sensor方面從N65BSI 一直到N65BSI,Stacking方面,則是從BSI到Advanced Pixel Level Stack,ASIC(ISP)則是從N90LP到N65LP。

三星

在先進制程方面,三星是唯一能沖擊臺積電的晶圓代工廠。繼7nm之后,三星正在5nm制程方面向臺積電發(fā)動著攻擊。

三星的5nm制程于2020年第三季度實現(xiàn)量產(chǎn),稍晚于臺積電,但相差不多。三星的5LPE(5nm低功耗早期)制造技術(shù)是該公司7LPP(7nm低功耗性能)制造工藝的改進,該工藝已經(jīng)使用了兩年。

與7LPP相比,5LPE增強了EUV光刻工具的使用,以提供10%的性能提升(在相同的功率和復雜度下)或20%的功耗降低(在相同的時鐘和復雜度下),并減少約25%的面積。5LPE 在原始工藝中增加了幾個新模塊,包括具有智能擴散中斷(Smart Diffusion Break:SDB)隔離結(jié)構(gòu)的FinFET,以提供額外的性能。

三星表示,5LPE在很大程度上與7LPP兼容,這使5LPE設計可以復用IP,從而降低了成本并加快了上市時間。

三星首批5LPE芯片是在其位于韓國華城的第一條 EUV專用V1生產(chǎn)線上生產(chǎn)的。從2021年下半年開始,這項技術(shù)將在三星平澤新產(chǎn)線中使用。

3nm 制程方面,三星計劃于2022年下半年量產(chǎn),這也是在對標臺積電。

在成熟制程方面,三星目前有四條產(chǎn)線,包括三條12英寸和一條8英寸的,12英寸晶圓代工線分布在韓國和美國,主要針對相對高端的制程工藝,包括65nm、45nm、32/28nm HKMG、14nm FinFET工藝。8英寸晶圓代工線于2016年開放,涵蓋180nm到65nm節(jié)點,主要用于eFlash、功率器件、CIS,以及高壓制程等。

聯(lián)電

自從調(diào)整經(jīng)營策略后,聯(lián)電專注成熟和特殊制程技術(shù),并從強化財務結(jié)構(gòu)、具成本競爭力的產(chǎn)能擴充及調(diào)整產(chǎn)品組合著手。

2020年,聯(lián)電在先進制程平臺推出14nm 14FFC制程、22nm超低功耗22ULP及超低漏電流22ULL制程、28nm高效能運算28HPC+制程等均已進入量產(chǎn),并采用28HPC+制程量產(chǎn)圖像信號處理器(ISP),且今年導入更先進產(chǎn)品。聯(lián)電還針對毫米波(mmWave)制程完成了55nm/40nm/28nm平臺,可應用于移動裝置、物聯(lián)網(wǎng)、5G通訊、車用電子工業(yè)雷達。

在特殊制程方面,聯(lián)電28nm高壓制程在晶圓代工業(yè)界是第一個開發(fā)并量產(chǎn)OLED面板驅(qū)動IC的廠商,22nm高壓22eHV制程研發(fā)進度符合預期。RFSOI技術(shù)可滿足所有4G/5G手機射頻開關(guān)的嚴格要求,目前90nm制程已進入量產(chǎn),55nm制程即將導入量產(chǎn),同時已著手開發(fā)40nm RFSOI技術(shù)平臺,以滿足后續(xù)5G和mmWave市場增長需求。

此外,聯(lián)電嵌入式閃存(eFlash)的40nm制程已導入量產(chǎn),28nm研發(fā)符合預期,將可供應物聯(lián)網(wǎng)需求。40nm電阻式隨機存取內(nèi)存(ReRAM)已經(jīng)進入量產(chǎn)階段,22nm ReRAM技術(shù)平臺和22nm嵌入式磁阻隨機存取內(nèi)存(eMRAM)制程平臺研發(fā)如期進行中。

格芯

格芯主攻成熟制程,其在先進制程方面涉獵已經(jīng)很少,最先進的是12nm工藝。2020年,該公司宣布其最先進的FinFET制程工藝12LP+大功告成。按照格芯的說法,12LP+相較于12LP,性能增加了20%、規(guī)模面積減少了10%。

目前,12LP+已經(jīng)在AI訓練芯片領域通過了IP驗證,可減少生產(chǎn)成本、創(chuàng)造更大價值。另外,格芯也在豐富12LP+的IP組合包,目標包括PCIe 3/4/5、USB 2/3主控芯片、HBM2/2e顯存、DDR/LPDDR4/4X芯片、GDDR6芯片等。

在成熟制程方面,格芯主要涉及28nm/22nm、40nm/45nm、55nm/65nm、90nm、130nm工藝。

中芯國際

受國際形勢影響,中芯國際先進制程研發(fā)進展受阻,預計14nm以下節(jié)點制程帶來的營收將有所下降。然而,由于市場對40nm及以上成熟制程的需求仍然維持在較高水平,2020年,中芯國際憑借在成熟制程方面的優(yōu)勢取得了17%的營收年增長率及5%的市場占有率。

中芯國際全年14nm/28nm營收占比為9.3%,40nm/45nm營收占比為16%,55nm/65nm營收占比為30%,合計占比超過總營收的一半以上。

具體來看,中芯國際有150多個工藝平臺,按地區(qū)劃分:在上海,0.35μm-0.11μm有65個工藝;在天津,0.35μm-0.15μm有35個工藝;在深圳,0.35μm-0.15μm有25個工藝;在北京,0.18μm-22nm有76個工藝。此外,該公司于2020年新增了10個工藝平臺。

IP方面,中芯國際已經(jīng)積累2300個,具體包括:826個40nm/28nm的IP,562個65nm/55nm的IP,74個90nm的IP,355個0.18μm/0.15μm的IP,35個0.35μm/0.25μm的IP,以及478個0.13μm /0.11μm的IP。

結(jié)語

在當今的產(chǎn)業(yè)環(huán)境下,晶圓代工的地位愈加突出,而在成熟和先進制程方面具備多線能力的廠商,其市場接受度會更高,營收能力更強。越全面,越能實現(xiàn)通吃。

編輯:jq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 中芯國際
    +關(guān)注

    關(guān)注

    27

    文章

    1410

    瀏覽量

    65150
  • 三星電子
    +關(guān)注

    關(guān)注

    34

    文章

    15796

    瀏覽量

    180668
  • 臺積電
    +關(guān)注

    關(guān)注

    43

    文章

    5535

    瀏覽量

    165701
  • 聯(lián)電
    +關(guān)注

    關(guān)注

    1

    文章

    289

    瀏覽量

    62365
  • 晶圓代工廠
    +關(guān)注

    關(guān)注

    0

    文章

    52

    瀏覽量

    12514

原文標題:晶圓代工五虎全線出擊

文章出處:【微信號:WW_CGQJS,微信公眾號:傳感器技術(shù)】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    三星代工發(fā)力,挑戰(zhàn)臺積電地位

    三星電子在最新的投資人財報會議中透露,其代工業(yè)務在上季度實現(xiàn)了顯著的利潤增長,預示著該領域的強勁復蘇。公司對未來充滿信心,預計下半年
    的頭像 發(fā)表于 08-02 16:37 ?650次閱讀

    英特爾發(fā)布代工計劃,未來四年推種新工藝

    英特爾希望通過調(diào)整代工業(yè)務部,控制自身產(chǎn)品成本,恢復利潤率。該公司表示,該業(yè)務線將在交付速度和測試時長等方面有所改進,旨在增長利潤,重獲那些過去依賴外部代理商的客戶訂單。
    的頭像 發(fā)表于 04-03 10:09 ?418次閱讀

    臺積電領跑全球代工市場,聯(lián)電、格芯面臨沖擊

    盡管聯(lián)電和格芯總體市場份額相當微薄,約只有6%,受到終端設備需求下滑及庫存調(diào)整的影響,預計2024年發(fā)展較為謹慎。中芯國際躋身全球前代工廠之列,市場份額為5%。與此同時,智能手
    的頭像 發(fā)表于 03-28 15:50 ?376次閱讀

    一文看懂級封裝

    共讀好書 在本文中,我們將重點介紹半導體封裝的另一種主要方法——級封裝(WLP)。本文將探討級封裝的項基本工藝,包括:光刻(Pho
    的頭像 發(fā)表于 03-05 08:42 ?1020次閱讀
    一文看懂<b class='flag-5'>晶</b><b class='flag-5'>圓</b>級封裝

    中國代工廠降低價格吸引客戶

    近期,中國大陸的代工廠采取了降低流片價格的策略,旨在吸引更多客戶。這一策略的實施可能導致一些客戶考慮取消訂單,并考慮轉(zhuǎn)向中國大陸的
    的頭像 發(fā)表于 01-25 16:37 ?2389次閱讀

    級封裝的項基本工藝

    在本文中,我們將重點介紹半導體封裝的另一種主要方法——級封裝(WLP)。本文將探討級封裝的項基本工藝,包括:光刻(Photolit
    發(fā)表于 01-24 09:39 ?1430次閱讀
    <b class='flag-5'>晶</b><b class='flag-5'>圓</b>級封裝的<b class='flag-5'>五</b>項基本工藝

    三星代工一季度將大降價,欲與對手搶單

    自去年下半年以來,全球代工業(yè)面臨市場需求下滑的壓力。為了搶占市場份額,各家代工廠紛紛采取
    的頭像 發(fā)表于 01-05 17:03 ?858次閱讀

    全球代工行業(yè)格局及市場趨勢

    制造產(chǎn)業(yè)在集成電路產(chǎn)業(yè)中起著承前啟后的作用,是整個集成電路產(chǎn)業(yè)的平臺和核心,而代工又是
    發(fā)表于 01-04 10:56 ?1280次閱讀
    全球<b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>代工</b>行業(yè)格局及市場趨勢

    AI為代工產(chǎn)業(yè)將帶來什么的未來?

    在12英寸產(chǎn)能利用率上,位于頭部的代工企業(yè)的產(chǎn)能利用率大致也能達到80%左右。不過可以發(fā)現(xiàn),三星在先進工藝上名列前茅,但產(chǎn)能利用率處
    的頭像 發(fā)表于 12-13 10:39 ?959次閱讀
    AI為<b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>代工</b>產(chǎn)業(yè)將帶來什么的未來?

    代工成熟制程出現(xiàn)降價?

    近期市場傳出為緩解產(chǎn)能利用率下滑,多家代工廠商下調(diào)價格的消息。
    的頭像 發(fā)表于 12-08 10:16 ?505次閱讀

    市場復蘇緩慢、競爭加劇,代工成熟制程出現(xiàn)降價?

    韓國代工廠商同樣也受到影響,近期韓媒報道,一些本土設計廠商已經(jīng)開始要求代工廠商降價,有
    的頭像 發(fā)表于 12-06 17:36 ?671次閱讀

    代工價格暴跌!

    據(jù)介紹,消費性客戶投片需求低,而專攻 8 英寸代工成熟制程的廠商受影響最大,例如電源管理 IC、驅(qū)動 IC 及微控制器(MCU)等芯片庫存水位仍保持較高水平,且部分產(chǎn)品已經(jīng)轉(zhuǎn)投 12 英寸,讓 8 英寸
    的頭像 發(fā)表于 11-22 17:15 ?594次閱讀

    AI應用帶動2024年全球代工增長!臺積電Q3業(yè)績超預期,中芯國際上調(diào)資本支出

    不足。 ? 截止到11月9日,全球代工廠商臺積電、聯(lián)電、格芯、中芯國際、華虹半導體陸續(xù)發(fā)布2023年第三季度的財報。
    的頭像 發(fā)表于 11-15 00:17 ?1838次閱讀
    AI應用帶動2024年全球<b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>代工</b>增長!臺積電Q3業(yè)績超預期,中芯國際上調(diào)資本支出

    代工價格暴跌,部分降幅高達15%至20%

    消息透露,除了臺積電仍在保持相對堅挺的報價外,其他廠商幾乎都無法幸免。代工業(yè)者表示,由于成熟制程
    的頭像 發(fā)表于 11-14 16:36 ?966次閱讀

    代工產(chǎn)能利用率下降,降價大戰(zhàn)一觸即發(fā)

    代工行業(yè)正面臨產(chǎn)能利用率的重大挑戰(zhàn),據(jù)悉,聯(lián)電、世界先進和力積電等主要代工廠紛紛降低明年首季的報價,幅度高達兩位數(shù)百分比,項目客戶降幅更高達15%至20%,各大
    的頭像 發(fā)表于 11-13 17:17 ?762次閱讀