0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

UART的發(fā)送數(shù)據(jù)模塊及Verilog代碼

FPGA之家 ? 來源:AriesOpenFPGA ? 作者:AriesOpenFPGA ? 2021-05-27 18:05 ? 次閱讀

代碼注釋有些匆忙,如有錯(cuò)誤注釋還請批評(píng),僅作參考

UART

Uart比較簡單,所以僅對(duì)tx作比較詳細(xì)的注釋,但里面一些內(nèi)容還是值得新手學(xué)習(xí)的

1開始位(低電平)+8位數(shù)據(jù)+1停止位(高電平,這里選的是一個(gè)周期高電平,也可兩個(gè))(無校驗(yàn)位)

1、prescale是完成一個(gè)bit需要主時(shí)鐘計(jì)數(shù)的次數(shù)(其和主時(shí)鐘以及波特率之間的關(guān)系參考網(wǎng)上文章)

2、進(jìn)入uart模塊的異步信號(hào),最好使用提供的同步器同步

3、異步復(fù)位信號(hào)最好使用提供的同步器同步

4、波特率任意選,只要時(shí)鐘夠大,能夠符合誤碼率計(jì)算即可,這里使用的是125Mhz

5、基本的思想就是移位

6、傳輸條件就是握手

7、如果使用Xlinx的片子,建議使用全局時(shí)鐘資源(IBUFG后面連接BUFG的方法是最基本的全局時(shí)鐘資源的使用方法)

8、這個(gè)完整的代碼就是使用IBUFG+BUFG

9、傳輸雖然簡單,但對(duì)于新手來講,還是有挺多的知識(shí)點(diǎn)值得學(xué)習(xí)的點(diǎn)

10、公眾號(hào)只是對(duì)代碼進(jìn)行了簡單注釋

UART的發(fā)送數(shù)據(jù)模塊

// 代碼注釋有些匆忙,如有錯(cuò)誤注釋還請批評(píng),僅作參考// UART// 1開始位+8位數(shù)據(jù)+1停止位(無校驗(yàn))// prescale是完成一個(gè)bit需要主時(shí)鐘計(jì)數(shù)的次數(shù)(其和主時(shí)鐘以及波特率之間的關(guān)系參考網(wǎng)上文章)// 進(jìn)入uart模塊的異步信號(hào),最好使用提供的同步器同步// 異步復(fù)位信號(hào)最好使用提供的同步器同步// 波特率任意選,只要時(shí)鐘夠大,能夠符合誤碼率計(jì)算即可,這里使用的是125M// 基本的思想就是移位// 傳輸條件就是握手// 如果使用Xlinx的片子,建議使用全局時(shí)鐘資源(IBUFG后面連接BUFG的方法是最基本的全局時(shí)鐘資源的使用方法)// 這個(gè)完整的代碼就是使用IBUFG+BUFG// 傳輸雖然簡單,但對(duì)于新手來講,還是有挺多的知識(shí)點(diǎn)值得學(xué)習(xí)的// 公眾號(hào)只是對(duì)代碼進(jìn)行了簡單注釋`timescale 1ns / 1ps/* AXI4-Stream UART */module uart_tx #( parameter DATA_WIDTH = 8)( input wire clk, // 系統(tǒng)時(shí)鐘 input wire rst, // 復(fù)位信號(hào)

/* AXI input */ input wire [DATA_WIDTH-1:0] s_axis_tdata, // 輸入到這個(gè)模塊準(zhǔn)備發(fā)送出去的數(shù)據(jù) input wire s_axis_tvalid, // 有數(shù)據(jù)要輸入到這個(gè)模塊 output wire s_axis_tready, // 該模塊準(zhǔn)備好接收數(shù)據(jù)

output wire txd, // UART interface output wire busy, // Status 線忙 input wire [15:0] prescale // Configuration 預(yù)分度);

reg s_axis_tready_reg = 0;reg txd_reg = 1;reg busy_reg = 0;

reg [DATA_WIDTH:0] data_reg = 0;reg [18:0] prescale_reg = 0;reg [3:0] bit_cnt = 0;

assign s_axis_tready = s_axis_tready_reg;assign txd = txd_reg;assign busy = busy_reg;

always @(posedge clk) begin if (rst) begin s_axis_tready_reg 《= 0; // 從機(jī)沒有準(zhǔn)備好發(fā)送 txd_reg 《= 1; // 發(fā)送線拉高 prescale_reg 《= 0; // bit_cnt 《= 0; // 位計(jì)數(shù)器初始化為0 busy_reg 《= 0; // 復(fù)位后為不忙狀態(tài) end else begin if (prescale_reg 》 0) begin s_axis_tready_reg 《= 0; prescale_reg 《= prescale_reg - 1; end else if (bit_cnt == 0) //比特計(jì)數(shù)器為0 begin s_axis_tready_reg 《= 1; // 從機(jī)把ready信號(hào)拉高 busy_reg 《= 0; // 忙信號(hào)拉低無效 if (s_axis_tvalid) // 如果從機(jī)準(zhǔn)備好接收數(shù)據(jù) begin s_axis_tready_reg 《= !s_axis_tready_reg; // prescale_reg 《= (prescale 《《 3)-1; // bit_cnt 《= DATA_WIDTH+1; // 一共10次計(jì)數(shù) data_reg 《= {1‘b1, s_axis_tdata}; // txd_reg 《= 0; // 起始位0(起始位tx拉低,停止位拉高) busy_reg 《= 1; // 開始傳輸后,傳輸線進(jìn)入忙狀態(tài) end end else begin if (bit_cnt 》 1) // begin bit_cnt 《= bit_cnt - 1; prescale_reg 《= (prescale 《《 3)-1; // 經(jīng)過(prescale 《《 3)-1次的系統(tǒng)時(shí)鐘計(jì)數(shù),完成一位的移位 {data_reg, txd_reg} 《= {1’b0, data_reg}; // 移位操作 end else if (bit_cnt == 1) begin bit_cnt 《= bit_cnt - 1; prescale_reg 《= (prescale 《《 3); txd_reg 《= 1; // 停止位1 end end end end

endmodule

UART的接收模塊(不詳細(xì)講解)

// Language: Verilog 2001

`timescale 1ns / 1ps

/* * AXI4-Stream UART */module uart_rx #( parameter DATA_WIDTH = 8)( input wire clk, input wire rst,

/* AXI output */ output wire [DATA_WIDTH-1:0] m_axis_tdata, output wire m_axis_tvalid, input wire m_axis_tready, /* UART interface */ input wire rxd, /* Status */ output wire busy, output wire overrun_error, output wire frame_error, /* Configuration */ input wire [15:0] prescale

);

reg [DATA_WIDTH-1:0] m_axis_tdata_reg = 0;reg m_axis_tvalid_reg = 0;

reg rxd_reg = 1;

reg busy_reg = 0;reg overrun_error_reg = 0;reg frame_error_reg = 0;

reg [DATA_WIDTH-1:0] data_reg = 0;reg [18:0] prescale_reg = 0;reg [3:0] bit_cnt = 0;

assign m_axis_tdata = m_axis_tdata_reg;assign m_axis_tvalid = m_axis_tvalid_reg;

assign busy = busy_reg;assign overrun_error = overrun_error_reg;assign frame_error = frame_error_reg;

always @(posedge clk) begin if (rst) // 初始化各種參數(shù) begin m_axis_tdata_reg 《= 0; m_axis_tvalid_reg 《= 0; rxd_reg 《= 1; prescale_reg 《= 0; bit_cnt 《= 0; busy_reg 《= 0; overrun_error_reg 《= 0; frame_error_reg 《= 0; end else begin rxd_reg 《= rxd; overrun_error_reg 《= 0; frame_error_reg 《= 0;

if (m_axis_tvalid && m_axis_tready) // 準(zhǔn)備有數(shù)據(jù)要發(fā)以及準(zhǔn)被好發(fā) begin m_axis_tvalid_reg 《= 0; end

if (prescale_reg 》 0) // begin prescale_reg 《= prescale_reg - 1; end else if (bit_cnt 》 0) begin if (bit_cnt 》 DATA_WIDTH+1) begin if (!rxd_reg) // 實(shí)際的read為0時(shí),開始計(jì)數(shù)bit begin bit_cnt 《= bit_cnt - 1; prescale_reg 《= (prescale 《《 3)-1; //prescale是16位移3位減1位,因?yàn)閜rescale_reg end else begin bit_cnt 《= 0; prescale_reg 《= 0; end end else if (bit_cnt 》 1) begin bit_cnt 《= bit_cnt - 1; prescale_reg 《= (prescale 《《 3)-1; data_reg 《= {rxd_reg, data_reg[DATA_WIDTH-1:1]}; end else if (bit_cnt == 1) begin bit_cnt 《= bit_cnt - 1; if (rxd_reg) begin m_axis_tdata_reg 《= data_reg; m_axis_tvalid_reg 《= 1; overrun_error_reg 《= m_axis_tvalid_reg; end else begin frame_error_reg 《= 1; end end end else begin busy_reg 《= 0; if (!rxd_reg) begin prescale_reg 《= (prescale 《《 2)-2; bit_cnt 《= DATA_WIDTH + 2; data_reg 《= 0; busy_reg 《= 1; end end endendendmodule

UART頂層

// Language: Verilog 2001

`timescale 1ns / 1ps

/* * AXI4-Stream UART */module uart #( parameter DATA_WIDTH = 8)( input wire clk, input wire rst,

/* * AXI input */ input wire [DATA_WIDTH-1:0] s_axis_tdata, input wire s_axis_tvalid, output wire s_axis_tready,

/* * AXI output */ output wire [DATA_WIDTH-1:0] m_axis_tdata, output wire m_axis_tvalid, input wire m_axis_tready,

/* * UART interface */ input wire rxd, output wire txd,

/* * Status */ output wire tx_busy, output wire rx_busy, output wire rx_overrun_error, output wire rx_frame_error,

/* * Configuration */ input wire [15:0] prescale

);

uart_tx #( .DATA_WIDTH(DATA_WIDTH))uart_tx_inst ( .clk(clk), .rst(rst), // axi input .s_axis_tdata(s_axis_tdata), .s_axis_tvalid(s_axis_tvalid), .s_axis_tready(s_axis_tready), // output .txd(txd), // status .busy(tx_busy), // configuration .prescale(prescale));

uart_rx #( .DATA_WIDTH(DATA_WIDTH))uart_rx_inst ( .clk(clk), .rst(rst), // axi output .m_axis_tdata(m_axis_tdata), .m_axis_tvalid(m_axis_tvalid), .m_axis_tready(m_axis_tready), // input .rxd(rxd), // status .busy(rx_busy), .overrun_error(rx_overrun_error), .frame_error(rx_frame_error), // configuration .prescale(prescale));

endmodule

同步(異步復(fù)位)模塊

// Language: Verilog-2001// 很常用的模塊`timescale 1 ns / 1 ps

/* * Synchronizes an active-high asynchronous reset signal to a given clock by * using a pipeline of N registers. */module sync_reset #( parameter N=2 // depth of synchronizer)( input wire clk, input wire rst, output wire sync_reset_out);

reg [N-1:0] sync_reg = {N{1‘b1}};

assign sync_reset_out = sync_reg[N-1];

always @(posedge clk or posedge rst) begin if (rst) sync_reg 《= {N{1’b1}}; else sync_reg 《= {sync_reg[N-2:0], 1‘b0};end

endmodule

同步(異步信號(hào))模塊

// Language: Verilog-2001// 很常用的模塊`timescale 1 ns / 1 ps

/* * Synchronizes an asyncronous signal to a given clock by using a pipeline of * two registers. */module sync_signal #( parameter WIDTH=1, // width of the input and output signals parameter N=2 // depth of synchronizer)( input wire clk, input wire [WIDTH-1:0] in, output wire [WIDTH-1:0] out);

reg [WIDTH-1:0] sync_reg[N-1:0];

/* * The synchronized output is the last register in the pipeline. */assign out = sync_reg[N-1];

integer k;

always @(posedge clk) begin sync_reg[0] 《= in; for (k = 1; k 《 N; k = k + 1) begin sync_reg[k] 《= sync_reg[k-1]; endend

endmodule

原文標(biāo)題:Uart協(xié)議及Verilog代碼

文章出處:【微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109721
  • 代碼
    +關(guān)注

    關(guān)注

    30

    文章

    4671

    瀏覽量

    67771

原文標(biāo)題:Uart協(xié)議及Verilog代碼

文章出處:【微信號(hào):zhuyandz,微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    使用tc377進(jìn)行uart通信,數(shù)據(jù)未通過UART發(fā)送的原因?

    我使用 tc377 進(jìn)行 uart 通信,我必須使用定時(shí)器(Gpt12)從 tx 發(fā)送數(shù)據(jù)而不中斷 ,當(dāng)我使用時(shí),數(shù)據(jù)存儲(chǔ)在 Tx 緩沖區(qū),但沒有傳輸?shù)?Rx。 這是定時(shí)器函數(shù) voi
    發(fā)表于 05-27 07:15

    TC399 UART能夠接收數(shù)據(jù),但無法發(fā)送任何數(shù)據(jù),為什么?

    傳輸數(shù)據(jù)。 我能夠接收數(shù)據(jù),但無法發(fā)送任何數(shù)據(jù)。 我用示波器監(jiān)測了 TX 引腳,它始終保持在 VDD 電平。 目前,我使用以下步驟來初始化 ASCLIN
    發(fā)表于 05-21 06:48

    STM8S UART應(yīng)用代碼占用FLASH較大的原因?

    如題今天在做STM8S應(yīng)用的時(shí)候 發(fā)現(xiàn)添加uart代碼后,在.map中查看發(fā)現(xiàn)uart簡單的發(fā)送數(shù)據(jù)就占用了3k的FLASH大家有沒有遇到類
    發(fā)表于 04-17 08:12

    STM8S105C6T6 UART2串口能接收數(shù)據(jù),不能發(fā)送數(shù)據(jù)是為什么?

    STM8S105C6T6 UART2串口,能接收數(shù)據(jù),不能發(fā)送數(shù)據(jù),哪位大神能幫忙看看?,F(xiàn)在單片機(jī)自發(fā)自收沒有問題,串口自發(fā)自收也沒有問題,但是兩個(gè)連接起來就單片機(jī)只能接收
    發(fā)表于 04-10 08:05

    verilog中input和output作用

    Verilog中,input和output用于定義模塊的輸入和輸出端口。它們是用于通信的關(guān)鍵元素,定義了模塊與其它模塊之間的數(shù)據(jù)傳輸接口。
    的頭像 發(fā)表于 02-23 10:29 ?2224次閱讀

    verilog調(diào)用模塊端口對(duì)應(yīng)方式

    Verilog中的模塊端口對(duì)應(yīng)方式,并提供示例代碼和詳細(xì)解釋,以幫助讀者更好地理解和應(yīng)用。 首先,我們來了解一下Verilog中的模塊
    的頭像 發(fā)表于 02-23 10:20 ?1089次閱讀

    verilog function函數(shù)的用法

    Verilog 中被廣泛用于對(duì)電路進(jìn)行模塊化設(shè)計(jì),以簡化和組織代碼。 本文將詳細(xì)介紹 Verilog 函數(shù)的用法,并探討函數(shù)在硬件設(shè)計(jì)中的重要性和實(shí)際應(yīng)用場景。 一.
    的頭像 發(fā)表于 02-22 15:49 ?3942次閱讀

    常見的UART收發(fā)方式

    這種方式適合大多數(shù)單片機(jī),只要有中斷就行。使用UART Write發(fā)送數(shù)據(jù)時(shí),數(shù)據(jù)并不是直接寫入到UART
    的頭像 發(fā)表于 02-19 16:23 ?716次閱讀

    TSMaster 序列發(fā)送模塊在汽車開發(fā)測試中的應(yīng)用

    眾所周知,序列發(fā)送模塊可以不需要腳本代碼實(shí)現(xiàn)測試中特定控制報(bào)文序列的發(fā)送,該模塊多用于循環(huán)順序控制的測試案例中。序列
    的頭像 發(fā)表于 02-19 14:00 ?523次閱讀
    TSMaster 序列<b class='flag-5'>發(fā)送</b><b class='flag-5'>模塊</b>在汽車開發(fā)測試中的應(yīng)用

    怎么用emac實(shí)現(xiàn)Verilog自動(dòng)連線呢?

    我們在編寫一些比較復(fù)雜的Verilog代碼時(shí),通常需要進(jìn)行大量的手動(dòng)連線工作,這種工作十分容易出錯(cuò),并且在代碼模塊的嵌套層級(jí)較多時(shí),更改里層的一個(gè)
    的頭像 發(fā)表于 01-24 10:03 ?1062次閱讀

    GD32F103C8T6 Uart3無法發(fā)送數(shù)據(jù)

    以用來實(shí)現(xiàn)與其他外設(shè)或者外部設(shè)備的數(shù)據(jù)交互。 然而,在一些情況下,用戶可能會(huì)遇到UART3無法發(fā)送數(shù)據(jù)的問題。在接下來的文章中,我們將深入探討這個(gè)問題,并提供一些解決方案。 首先,我們
    的頭像 發(fā)表于 01-09 10:57 ?1325次閱讀

    UART數(shù)據(jù)幀與發(fā)送

    保持在高電壓電平。為了開始數(shù)據(jù)傳輸,發(fā)送 UART數(shù)據(jù)線從高電平拉到低電平(從 1 到 0)。接收 UART
    的頭像 發(fā)表于 11-09 17:42 ?615次閱讀

    UART協(xié)議是干啥的

    transmitter),是通用異步收發(fā)器,因?yàn)槭钱惒剑砸膊恍枰獣r(shí)鐘信號(hào)來做時(shí)間參考。它的應(yīng)用范圍很廣,比如各種外接模塊如GPS,藍(lán)牙模塊等等,上位機(jī)(發(fā)送操控)與下位機(jī)(接收)之間的通信。
    的頭像 發(fā)表于 11-06 10:08 ?1624次閱讀
    <b class='flag-5'>UART</b>協(xié)議是干啥的

    JK觸發(fā)器與T觸發(fā)器的Verilog代碼實(shí)現(xiàn)和RTL電路實(shí)現(xiàn)

    JK 觸發(fā)器的 Verilog 代碼實(shí)現(xiàn)和 RTL 電路實(shí)現(xiàn)
    的頭像 發(fā)表于 10-09 17:29 ?3753次閱讀
    JK觸發(fā)器與T觸發(fā)器的<b class='flag-5'>Verilog</b><b class='flag-5'>代碼</b>實(shí)現(xiàn)和RTL電路實(shí)現(xiàn)

    芯片設(shè)計(jì)中的UART模塊及其關(guān)鍵技術(shù)介紹

    在芯片設(shè)計(jì)中,UART(Universal Asynchronous Receiver/Transmitter,通用異步接收/發(fā)送器)模塊是一個(gè)非常重要的外設(shè)模塊
    的頭像 發(fā)表于 10-09 14:10 ?1239次閱讀