0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何采用模塊化思路構(gòu)建一個3-8譯碼器

h1654155282.3538 ? 來源: 硬禾學(xué)堂 ? 作者: 硬禾學(xué)堂 ? 2021-06-06 11:23 ? 次閱讀

我們用一個3-8譯碼器來結(jié)束本次對組合邏輯電路的介紹,并且最后我們還給大家準(zhǔn)備了一個略微酸爽的任務(wù),確保大家的腦神經(jīng)都可以得到充分的摩擦。閑話不多,現(xiàn)在開始。

本次實(shí)驗(yàn)的任務(wù)是構(gòu)建一個3-8譯碼器,且將譯碼結(jié)果通過小腳丫的LED燈顯示。

聽上去并不難,而且我能想象到,一定會有不少同學(xué)會立刻開始畫一個8行的真值表,然后通過卡諾圖進(jìn)行化簡,且根據(jù)最終的邏輯表達(dá)式畫出門電路圖。這個方法當(dāng)然沒有錯,不過,如果面對更多位數(shù)的系統(tǒng),比如4-16或者是8-256的譯碼器,建一個幾百行的真值表并進(jìn)行邏輯運(yùn)算聽上去似乎不那么科學(xué)。

在這里我們將采用模塊化的思路來完成我們的實(shí)驗(yàn)設(shè)計。

在開始進(jìn)行模塊化設(shè)計之前,我們先做一個2-4譯碼器,也就是譯碼器系列中最底層的基礎(chǔ)模塊。

pYYBAGC8P96AcTPRAABJvpdjMQc950.png

這次的代碼我們采用行為級描述(Behavioral-level)的寫法,直接根據(jù)真值表將輸入與輸出的各種組合進(jìn)行直接關(guān)聯(lián)??梢钥闯?,行為級的寫法甚至不需要構(gòu)建門電路,僅通過輸入輸出對應(yīng)關(guān)系即可構(gòu)建,因此最為抽象。

poYBAGC8P96AFwPCAABU8q3YaHY984.png

有了最基礎(chǔ)的模塊,如何通過它搭建出3-8譯碼器呢?現(xiàn)在,我們在原有的真值表上加上一路使能信號E,再來觀察一下新的真值表??梢园l(fā)現(xiàn),當(dāng)E為低電平時,不論輸入的取值如何,前四位輸出均為0。當(dāng)E為高電平時,右側(cè)仍然為2-4譯碼器的輸出結(jié)構(gòu)。

poYBAGC8P-eAfT78AAAU4EdegS8549.png

我們可以把表3看作為一個3-8譯碼器的真值表,只不過輸入端的最高位由E代替。由于E為低電平時輸出最高的四位均為0,因此確保我們在對后四位輸出(黃色)進(jìn)行賦值不會影響到前四位的輸出。

pYYBAGC8P_WATiD_AAAnjXxF2eU620.png

從表3不難看出,黃框和紅框?qū)?yīng)的其實(shí)就是一個帶有使能端的2-4譯碼器,且使能端E控制著前后半端位數(shù)的輸出結(jié)果。也就是說,一個3-8譯碼器可以由兩個2-4譯碼器構(gòu)成。同理,一個4-16譯碼器可以由兩個3-8譯碼器構(gòu)成,以此類推。

帶有使能E的2-4譯碼器如下圖所示。實(shí)際上就是在之前的代碼上稍做修改,在這里我們就不詳細(xì)寫出來了,給大家自行練習(xí)的機(jī)會。

poYBAGC8P_aAOIWrAABV2Axcwc8148.png

接下來,按照之前的分析,我們畫出由兩個2-4譯碼器組成的3-8譯碼器的結(jié)構(gòu)。

pYYBAGC8QAWAJoUTAABNcCK379s540.png

以下是用Verilog寫的一個3-8譯碼器,在程序里我們調(diào)用了兩次2-4譯碼器的子模塊。注意,子模塊的文件需要和decode38文件放在同一個工程目錄下,不然就成了隔壁老王了。

poYBAGC8QAWAcpX7AAB23RIzFOE062.png

當(dāng)你反復(fù)在圖和代碼之間徘徊幾輪,并有了多么痛的領(lǐng)悟之后,你就可以把自己編譯好的程序下載到小腳丫里,然后通過實(shí)驗(yàn)測試你的代碼了。

練習(xí)一下,當(dāng)我們把輸出D7-D1分別對應(yīng)為小腳丫上的L7-L1,且輸入X2-X0分別對應(yīng)至小腳丫的SW3-SW1,請判斷以下的LED狀態(tài)(低電平亮)。

在倒騰了半天之后,你終于搞定了代碼,并且成功在小腳丫上驗(yàn)證了你的設(shè)計,于是滿懷信心的你終于可以嘗試一下通過模塊化的設(shè)計思路去構(gòu)建4-16甚至更多位的譯碼器了。
責(zé)任編輯人:CC

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 邏輯電路
    +關(guān)注

    關(guān)注

    13

    文章

    490

    瀏覽量

    42452
  • 譯碼器
    +關(guān)注

    關(guān)注

    4

    文章

    310

    瀏覽量

    50156
收藏 人收藏

    評論

    相關(guān)推薦

    組合邏輯3-8譯碼器的設(shè)計及實(shí)驗(yàn)

    組合邏輯3-8譯碼器的設(shè)計及實(shí)驗(yàn)  說明:本書將以實(shí)驗(yàn)為例詳細(xì)介紹Altera公司MaxplusII 10.1版本軟件的基本應(yīng)用,其它實(shí)驗(yàn)將不再贅述。讀者在通過本實(shí)驗(yàn)后將對
    發(fā)表于 10-09 18:22

    設(shè)計虛擬3-8譯碼器,實(shí)現(xiàn)138譯碼器的功能

    設(shè)計虛擬3-8譯碼器,實(shí)現(xiàn)138譯碼器的功能!急急急{:soso_e183:}
    發(fā)表于 05-15 15:12

    關(guān)于 3-8譯碼器的 vhdl描述問題

    分別使用Process語句、when-else語句和case-when語句完成3-8譯碼器的設(shè)計。本人初學(xué),希望大家?guī)臀铱聪拢覍懙某绦騕code]Library ieeeUse
    發(fā)表于 10-17 21:51

    什么是3-8譯碼器

    什么是3-8譯碼器,急啊,速求
    發(fā)表于 03-26 16:52

    設(shè)計虛擬3-8譯碼器,實(shí)現(xiàn)138譯碼器的功能

    設(shè)計虛擬3-8譯碼器,實(shí)現(xiàn)138譯碼器的功能
    發(fā)表于 05-15 15:16

    3-8譯碼器

    3-8譯碼器希望大家能夠指導(dǎo)指導(dǎo)下!出問題的地方我已用紅色箭頭表明,感謝大家?。。?/div>
    發(fā)表于 06-12 22:33

    用QT的強(qiáng)制轉(zhuǎn)換函數(shù)寫3-8譯碼器

    用conv_integer強(qiáng)制轉(zhuǎn)換函數(shù)寫3-8譯碼器中間的兩句不明白o(hù)utput=‘0’);output(conv_integer(input))
    發(fā)表于 10-10 22:44

    3-8譯碼器進(jìn)行仿真設(shè)置問題

    在應(yīng)用QuartusII12.0進(jìn)行3-8譯碼器進(jìn)行仿真設(shè)置時,會出現(xiàn)圖中添加文件名字,請問添加的文件需要什么格式?順便問聲誰有Quartus12.0的實(shí)驗(yàn)教程,給分享下,先謝謝了
    發(fā)表于 09-22 10:56

    Xilinx FPGA入門連載20:3-8譯碼器實(shí)驗(yàn)

    ,OFF,ON1D7點(diǎn)亮 ONON,ON,OFF1D8點(diǎn)亮 ONON,ON,ON1D9點(diǎn)亮注:X表示ON或OFF,即任意狀態(tài)。 2 板級調(diào)試下載sp6.bit文件到FPGA中,可以如圖視頻樣操作撥碼開關(guān),實(shí)現(xiàn)3-8
    發(fā)表于 11-02 13:17

    怎么用3-8譯碼器實(shí)現(xiàn)8led的簡單算法的循環(huán)點(diǎn)亮

    大家好,我自己在3-8譯碼器后面接了8三極管作為增加驅(qū)動電流的方法,譯碼器8
    發(fā)表于 10-31 17:22

    請問怎么使用SN74LV4051A搭建3,-8譯碼器

    我想用SN74LV4051A搭建3,-8譯碼器,但是在官網(wǎng)下好了spice模型之后在tina里總是仿真不出來,能不能給我
    發(fā)表于 05-09 10:48

    用2-4譯碼器連接為3-8譯碼器

    我先寫了2-4譯碼器 通過testbench確定2-4譯碼器寫的沒有錯誤 但是將2-4譯碼器連接成3
    發(fā)表于 08-23 20:36

    種在FPGA中使用行為描述語句實(shí)現(xiàn)3-8譯碼器的設(shè)計方案

    1、在FPGA中使用行為描述語句實(shí)現(xiàn)3-8譯碼器設(shè)計思路譯碼器電路有n輸入和2n輸出,每個輸
    發(fā)表于 07-01 15:26

    3-8 譯碼器 控制

    38譯碼器控制LED燈每次亮
    發(fā)表于 04-21 10:52 ?16次下載

    基于FPGA采用模塊化思路設(shè)計譯碼器

    本次實(shí)驗(yàn)的任務(wù)是構(gòu)建3-8譯碼器,且將譯碼結(jié)果通過小腳丫的LED燈顯示。
    發(fā)表于 06-20 16:10 ?1002次閱讀
    基于FPGA<b class='flag-5'>采用</b><b class='flag-5'>模塊化</b><b class='flag-5'>思路</b>設(shè)計<b class='flag-5'>一</b><b class='flag-5'>個</b><b class='flag-5'>譯碼器</b>