0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

剖析具有挑戰(zhàn)性的設(shè)計(jì)時(shí)鐘方案

OpenFPGA ? 來源:OpenFPGA ? 作者:OpenFPGA ? 2021-06-17 16:34 ? 次閱讀

時(shí)鐘設(shè)計(jì)方案在復(fù)雜的FPGA設(shè)計(jì)中,設(shè)計(jì)時(shí)鐘方案是一項(xiàng)具有挑戰(zhàn)性的任務(wù)。設(shè)計(jì)者需要很好地掌握目標(biāo)器件所能提供的時(shí)鐘資源及它們的限制,需要了解不同設(shè)計(jì)技術(shù)之間的權(quán)衡,并且需要很好地掌握一系列設(shè)計(jì)實(shí)踐知識。

不正確的設(shè)計(jì)或次優(yōu)的時(shí)鐘方案可能會導(dǎo)致在最好情況下較差的設(shè)計(jì)性能,或者在最壞情況下的隨機(jī)和難以查找的錯(cuò)誤。FPGA時(shí)鐘資源指目標(biāo)FPGA中大量與時(shí)鐘有關(guān)的不同資源,如時(shí)鐘類型(局部的和全局的)、頻率限制和不同時(shí)鐘管理器的抖動特性,以及能用于單個(gè)時(shí)鐘域的時(shí)鐘最大數(shù)量。本文介紹了時(shí)鐘設(shè)計(jì)方案中的每個(gè)部分,并推薦了一些設(shè)計(jì)方法。

內(nèi)部產(chǎn)生的時(shí)鐘是組合邏輯或寄存器的輸出,如圖1所示。

fcdcedaa-ca99-11eb-9e57-12bb97331649.png

組合邏輯產(chǎn)生的時(shí)鐘可能有毛刺,會被錯(cuò)誤地當(dāng)成有效時(shí)鐘邊沿,在設(shè)計(jì)中會導(dǎo)致功能錯(cuò)誤。因此,不要使用組合邏輯的輸出作為時(shí)鐘。內(nèi)部產(chǎn)生的時(shí)鐘使用通用布線資源。因此,與專用時(shí)鐘布線相比延遲較長。其后果是時(shí)鐘偏移增加,滿足時(shí)序的過程更加困難。如果大量的邏輯使用了該內(nèi)部時(shí)鐘,這個(gè)問題尤為突出。公眾號:OpenFPGA作為一般規(guī)則,盡量避免使用內(nèi)部產(chǎn)生的時(shí)鐘,盡可能使用專用時(shí)鐘資源。

除了一些特殊電路[如雙倍數(shù)據(jù)速率(DDR)的數(shù)據(jù)捕獲]之外,寄存數(shù)據(jù)通??偸鞘褂脮r(shí)鐘的上升沿或下降沿。使用兩個(gè)邊沿帶來的問題是由于時(shí)鐘占空比可能并不總是50%,這會對電路的正常工作產(chǎn)生影響。

建議在頻率高的情況下使用差分時(shí)鐘。通常認(rèn)為頻率高于100MHz以上屬于高頻。差分時(shí)鐘相比單端時(shí)鐘的主要優(yōu)勢是共模噪聲抑制,因此抗噪聲性能更好。具有PECL、LVPECL和LVDS信號電平的差分時(shí)鐘是高速邏輯下時(shí)鐘的首選。Xilinx FPGA提供了一些用于差分時(shí)鐘的專用原語:IBUFDS、IBUFGDS、IBUFGDS_DIFF、OBUFDS、0BUFTDS等(見圖2)。

fd100be0-ca99-11eb-9e57-12bb97331649.png

時(shí)鐘門控是設(shè)計(jì)中通過使用控制信號,禁止或允許時(shí)鐘輸入到寄存器和其他同步元件上的一種方法。它能有效降低功耗,因此被廣泛應(yīng)用于ASIC設(shè)計(jì)中。然而,在FPGA設(shè)計(jì)中應(yīng)盡量避免使用門控時(shí)鐘。

不建議將時(shí)鐘信號作為通用邏輯的控制、復(fù)位或數(shù)據(jù)輸入。下面是這類電路的例子。

module clock_schemes(input clk1,clk2,clk3,clk4,clk5,input data_in,output reg data_out1,data_out2,data_out3,data_out4,data_out5,data_out6);wire data_from_clock, reset_from_clock, control_from_clock;/ / 時(shí)鐘被用做數(shù)據(jù)輸入assign data_from_clock = clk1;always @(posedge clkl) data out1 《= ~data out1;

always @(posedge clk2) data out2 《= ~data out2 & data_from_clock;

/ / 時(shí)鐘被用做復(fù)位輸入assign reset_from_clock = clk3;always @(posedge clk3) data out3 《= ~data out2;always @(posedge clk4, posedge reset_from_clock) if (reset_from_clock) data_out4 《= 0; else data out4 《= data in;/ / 時(shí)鐘被用做控制assign control_from_clock = clk5;always @(posedge clk5) data out5 《= ~data out5;always @(*) data_out6 = control_from_clock ? data_in : data_out6;endmodule // clock schemes

許多與FPGA接口的外設(shè)都使用與數(shù)據(jù)一樣的源同步時(shí)鐘。如果接口在高速下工作,可能需要對時(shí)鐘邊沿進(jìn)行校準(zhǔn),以便在數(shù)據(jù)窗口的中間捕獲數(shù)據(jù)。為實(shí)現(xiàn)動態(tài)校準(zhǔn)Xilinx MMCM原語提供了動態(tài)重新配置端口DRP),允許時(shí)鐘的可編程相位偏移。圖3說明了來自MMCM的時(shí)鐘被移位后,使時(shí)鐘的上升沿在窗口的中間位置采樣數(shù)據(jù)。

fd1e4714-ca99-11eb-9e57-12bb97331649.png

當(dāng)同一個(gè)邏輯有來自不同時(shí)鐘源的時(shí)鐘時(shí),需要在設(shè)計(jì)中對這些時(shí)鐘源進(jìn)行時(shí)鐘復(fù)用(見圖4)。一個(gè)例子是使用2.5MHz、25MHz或125MHz時(shí)鐘的以太網(wǎng)MAC,選取哪種時(shí)鐘取決于10Mbps、100Mbps或1Gbps的協(xié)商速度(negotiated speed)。另一個(gè)例子是電源內(nèi)置自測(BIST)電路,在正常工作期間,使用了來自同一個(gè)時(shí)鐘源的不同時(shí)鐘信號。公眾號:OpenFPGA

建議使用專用的時(shí)鐘資源實(shí)現(xiàn)時(shí)鐘復(fù)用,確保輸人和輸出時(shí)鐘使用專用時(shí)鐘線,而不是通用邏輯。參與復(fù)用的時(shí)鐘頻率可能彼此并不相關(guān)。使用組合邏輯實(shí)現(xiàn)的多路復(fù)用器在切換時(shí)會在時(shí)鐘線上產(chǎn)生毛刺,從而危害整個(gè)系統(tǒng)。這些毛刺會被一些寄存器當(dāng)成有效時(shí)鐘邊沿,而被另一些寄存器忽略。

Xilinx提供了能在兩個(gè)全局時(shí)鐘源之間進(jìn)行復(fù)用的BUFGMUX原語。它還確保了當(dāng)輸人時(shí)鐘切換后不會產(chǎn)生毛刺。時(shí)鐘復(fù)用需要對復(fù)用器中所有從輸入到輸出的時(shí)鐘路徑進(jìn)行細(xì)致的時(shí)序約束

fd4dc174-ca99-11eb-9e57-12bb97331649.png

檢測時(shí)鐘缺失 (absence)的一種方法,是使用其他更高速的時(shí)鐘對其進(jìn)行過采樣,缺點(diǎn)是時(shí)鐘可能沒有可用的高速時(shí)鐘。還有一種方法是使用 Xilinx MMCM 原語的 locked 輸出,如圖 5 所示。

fd62738a-ca99-11eb-9e57-12bb97331649.png

編輯:jq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1621

    文章

    21514

    瀏覽量

    599104
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5254

    瀏覽量

    119228
  • 數(shù)據(jù)
    +關(guān)注

    關(guān)注

    8

    文章

    6719

    瀏覽量

    88324
  • Xilinx
    +關(guān)注

    關(guān)注

    70

    文章

    2137

    瀏覽量

    120411

原文標(biāo)題:FPGA時(shí)鐘設(shè)計(jì)方案

文章出處:【微信號:Open_FPGA,微信公眾號:OpenFPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    【智能生活新寵】:WTR096芯片語音時(shí)鐘方案,錄音播放+時(shí)鐘提醒+計(jì)時(shí)時(shí)鐘功能一體,一鍵掌控您的日常節(jié)奏

    的健康與生活。我司推出的WTR096芯片,集錄放音、播放、計(jì)時(shí)時(shí)鐘功能于一身,專為這類產(chǎn)品量身打造,能夠?yàn)檎咧悄苷Z音時(shí)鐘提供可靠且優(yōu)質(zhì)的解決方案。我們先了解一下這些
    的頭像 發(fā)表于 08-30 13:21 ?134次閱讀
    【智能生活新寵】:WTR096芯片語音<b class='flag-5'>時(shí)鐘</b><b class='flag-5'>方案</b>,錄音播放+<b class='flag-5'>時(shí)鐘</b>提醒+<b class='flag-5'>計(jì)時(shí)時(shí)鐘</b>功能一體,一鍵掌控您的日常節(jié)奏

    康謀分享 | 在基于場景的AD/ADAS驗(yàn)證過程中,識別挑戰(zhàn)性場景!

    基于場景的驗(yàn)證是AD/ADAS系統(tǒng)開發(fā)過程中的重要步驟,然而面對海量駕駛記錄數(shù)據(jù)時(shí),如何實(shí)現(xiàn)自動且高效地識別、分類和提取駕駛記錄中的挑戰(zhàn)性場景?本文康謀為您介紹IVEX軟件識別挑戰(zhàn)性場景并進(jìn)行數(shù)據(jù)分析的強(qiáng)大功能。
    的頭像 發(fā)表于 08-28 10:16 ?878次閱讀
    康謀分享 | 在基于場景的AD/ADAS驗(yàn)證過程中,識別<b class='flag-5'>挑戰(zhàn)性</b>場景!

    CC2340系統(tǒng)降低成本的方案剖析

    電子發(fā)燒友網(wǎng)站提供《CC2340系統(tǒng)降低成本的方案剖析.pdf》資料免費(fèi)下載
    發(fā)表于 08-27 09:43 ?0次下載
    CC2340系統(tǒng)降低成本的<b class='flag-5'>方案</b><b class='flag-5'>剖析</b>

    FPGA設(shè)計(jì)面臨的挑戰(zhàn)和解決方案

    設(shè)計(jì)可靠的可編程邏輯門陣列(FPGA)對于不容故障的系統(tǒng)來說是一項(xiàng)具有挑戰(zhàn)性的任務(wù)。本文介紹FPGA設(shè)計(jì)的復(fù)雜,重點(diǎn)關(guān)注如何在提高可靠的同時(shí)管理隨之帶來的功耗增加、設(shè)計(jì)復(fù)雜
    的頭像 發(fā)表于 08-06 11:33 ?277次閱讀

    FPGA的sata接口設(shè)計(jì)時(shí)需要注意哪些問題

    ,對時(shí)鐘的穩(wěn)定性和精度要求較高。設(shè)計(jì)時(shí)需要仔細(xì)配置時(shí)鐘源,確保時(shí)鐘信號的穩(wěn)定性和準(zhǔn)確。對于SATA III接口,串行
    發(fā)表于 05-27 16:20

    具有密集交聯(lián)結(jié)構(gòu)的明膠基水凝膠電解質(zhì)(ODGelMA)

    目前,開發(fā)一種能夠成功實(shí)現(xiàn)兼具機(jī)械強(qiáng)度、離子電導(dǎo)率和界面適應(yīng)的綜合水凝膠電解質(zhì)基質(zhì)仍然具有挑戰(zhàn)性。
    的頭像 發(fā)表于 05-22 09:17 ?380次閱讀
    <b class='flag-5'>具有</b>密集交聯(lián)結(jié)構(gòu)的明膠基水凝膠電解質(zhì)(ODGelMA)

    使用STM8L做內(nèi)部RTC做時(shí)鐘時(shí)鐘計(jì)時(shí)計(jì)到了超過24時(shí)怎么解決?

    我在使用STM8L做內(nèi)部RTC做時(shí)鐘的時(shí)候,發(fā)現(xiàn)了一個(gè)問題。時(shí)鐘計(jì)時(shí)計(jì)到了超過24時(shí)。昨天下午我設(shè)置了一個(gè)初始時(shí)間,今天早上8:19的時(shí)候讀取STM8內(nèi)部RTC時(shí)間顯示的是 2018.8.2932:19:05時(shí)間
    發(fā)表于 04-19 06:59

    EPSON 的 RX8010SJ 為安防產(chǎn)品提供高可靠低功耗的實(shí)時(shí)時(shí)鐘模塊解決方案

    EPSON作為實(shí)時(shí)時(shí)鐘解決方案提供商中的佼佼者,為了適應(yīng)市場需求,推出實(shí)時(shí)時(shí)鐘模塊RX8010SJ。該款實(shí)時(shí)時(shí)鐘模塊除了擁有超高性價(jià)比以外,還擁有不俗的整體性能,同時(shí)集成了日歷功能可用
    發(fā)表于 04-15 16:04 ?0次下載

    探討制造中差異變量的概念和重要

    制造高端電子產(chǎn)品是非常復(fù)雜精密的過程。制作用于演示或原型的一次樣品可能具有挑戰(zhàn)性,但真正的挑戰(zhàn)在于如何以盈利的方式持續(xù)生產(chǎn)。
    的頭像 發(fā)表于 03-13 10:40 ?306次閱讀
    探討制造中差異變量的概念和重要<b class='flag-5'>性</b>

    FPGA時(shí)鐘內(nèi)部設(shè)計(jì)方案

    時(shí)鐘設(shè)計(jì)方案 在復(fù)雜的FPGA設(shè)計(jì)中,設(shè)計(jì)時(shí)鐘方案是一項(xiàng)具有挑戰(zhàn)性的任務(wù)。設(shè)計(jì)者需要很好地掌
    發(fā)表于 01-22 09:30 ?454次閱讀
    FPGA<b class='flag-5'>時(shí)鐘</b>內(nèi)部設(shè)計(jì)<b class='flag-5'>方案</b>

    寬輸入電壓和輸出電壓范圍的降壓/升壓電池充電器的優(yōu)勢

    對于工程師來說,當(dāng)不同的工程有不同的電池充電需求時(shí),設(shè)計(jì)使用可充電電池并為消費(fèi)者提供出色充電體驗(yàn)的應(yīng)用可能具有挑戰(zhàn)性。如果對每個(gè)應(yīng)用使用專用的電池充電器,會增加設(shè)計(jì)時(shí)間,因?yàn)槟仨氈匦略O(shè)計(jì)、調(diào)試和重新鑒定每個(gè)新電路。
    的頭像 發(fā)表于 12-07 09:37 ?760次閱讀

    分比式電源:為應(yīng)對當(dāng)前電源需求挑戰(zhàn)而生的解決方案

    隨著主板負(fù)載功率開始增加,高功率密度主板的設(shè)計(jì)變得更具挑戰(zhàn)性,因此電源系統(tǒng)架構(gòu)從分布式 DC-DC 轉(zhuǎn)換器(或磚型解決方案)發(fā)展成了中間母線架構(gòu) (IBA)。
    的頭像 發(fā)表于 12-04 16:50 ?743次閱讀
    分比式電源:為應(yīng)對當(dāng)前電源需求<b class='flag-5'>挑戰(zhàn)</b>而生的解決<b class='flag-5'>方案</b>

    Qorvo的電源管理解決方案如何消除GaN的柵極偏置差異

    RF前端的高功率末級功放已被GaN功率放大器取代。柵極負(fù)壓偏置使其在設(shè)計(jì)上有別于其它技術(shù),有時(shí)設(shè)計(jì)具有一定挑戰(zhàn)性;
    的頭像 發(fā)表于 11-21 10:20 ?564次閱讀
    Qorvo的電源管理解決<b class='flag-5'>方案</b>如何消除GaN的柵極偏置差異

    特斯拉的可擴(kuò)展自動駕駛解決方案

    特斯拉的自動駕駛策略是僅依賴攝像頭。雖然這是一個(gè)更具挑戰(zhàn)性的問題,但如果特斯拉能夠解決它,他們將擁有一個(gè)更具擴(kuò)展性的解決方案。特斯拉不使用高清地圖,這是一個(gè)更具挑戰(zhàn)性的問題。
    發(fā)表于 11-10 11:29 ?405次閱讀

    DS1302時(shí)鐘芯片的電路和程序

    DS1302是由美國DALLAS公司推出的具有涓細(xì)電流充電能力的低功耗實(shí)時(shí)時(shí)鐘芯片。它可以對年、月、日、周、時(shí)、分、秒進(jìn)行計(jì)時(shí),并且具有閏年補(bǔ)償?shù)榷喾N功能。
    的頭像 發(fā)表于 11-02 14:07 ?1351次閱讀
    DS1302<b class='flag-5'>時(shí)鐘</b>芯片的電路和程序