0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

淺析英特爾加速制程工藝和封裝技術(shù)創(chuàng)新

TDK中國(guó) ? 來(lái)源:英特爾中國(guó) ? 作者:英特爾中國(guó) ? 2021-08-09 10:47 ? 次閱讀

新聞重點(diǎn)

1. 英特爾制程工藝和封裝技術(shù)創(chuàng)新路線圖,為從現(xiàn)在到2025年乃至更遠(yuǎn)未來(lái)的下一波產(chǎn)品注入動(dòng)力。

2. 兩項(xiàng)突破性制程技術(shù):英特爾近十多年來(lái)推出的首個(gè)全新晶體管架構(gòu)RibbonFET,以及業(yè)界首個(gè)背面電能傳輸網(wǎng)絡(luò)PowerVia。

3. 隨著英特爾進(jìn)入半導(dǎo)體埃米時(shí)代,更新的節(jié)點(diǎn)命名體系將創(chuàng)建一致的框架,來(lái)幫助客戶和行業(yè)對(duì)制程節(jié)點(diǎn)演進(jìn)建立更準(zhǔn)確的認(rèn)知。

4. 英特爾代工服務(wù)(IFS)勢(shì)頭強(qiáng)勁,并首次公布合作客戶名單。

2021年7月27日,英特爾CEO帕特·基辛格在“英特爾加速創(chuàng)新:制程工藝和封裝技術(shù)線上發(fā)布會(huì)”上發(fā)表演講。在這次線上發(fā)布會(huì)中,英特爾提出了未來(lái)制程工藝和封裝技術(shù)路線圖。(圖片來(lái)源:英特爾)

今天,英特爾公司公布了公司有史以來(lái)最詳細(xì)的制程工藝和封裝技術(shù)路線圖,展示了一系列底層技術(shù)創(chuàng)新,這些創(chuàng)新技術(shù)將不斷驅(qū)動(dòng)從現(xiàn)在到2025年乃至更遠(yuǎn)未來(lái)的新產(chǎn)品開(kāi)發(fā)。除了公布其近十多年來(lái)首個(gè)全新晶體管架構(gòu) RibbonFET 和業(yè)界首個(gè)全新的背面電能傳輸網(wǎng)絡(luò)PowerVia之外,英特爾還重點(diǎn)介紹了迅速采用下一代極紫外光刻(EUV)技術(shù)的計(jì)劃,即高數(shù)值孔徑(High-NA)EUV。英特爾有望率先獲得業(yè)界第一臺(tái)High-NA EUV光刻機(jī)。

基于英特爾在先進(jìn)封裝領(lǐng)域毋庸置疑的領(lǐng)先性,我們正在加快制程工藝創(chuàng)新的路線圖,以確保到 2025 年制程性能再度領(lǐng)先業(yè)界。英特爾正利用我們無(wú)可比擬的持續(xù)創(chuàng)新的動(dòng)力,實(shí)現(xiàn)從晶體管到系統(tǒng)層面的全面技術(shù)進(jìn)步。在窮盡元素周期表之前,我們將堅(jiān)持不懈地追尋摩爾定律的腳步,并持續(xù)利用硅的神奇力量不斷推進(jìn)創(chuàng)新。

—— 帕特·基辛格

英特爾公司CEO

業(yè)界早就意識(shí)到,從1997年開(kāi)始,基于納米的傳統(tǒng)制程節(jié)點(diǎn)命名方法,不再與晶體管實(shí)際的柵極長(zhǎng)度相對(duì)應(yīng)。如今,英特爾為其制程節(jié)點(diǎn)引入了全新的命名體系,創(chuàng)建了一個(gè)清晰、一致的框架,幫助客戶對(duì)整個(gè)行業(yè)的制程節(jié)點(diǎn)演進(jìn)建立一個(gè)更準(zhǔn)確的認(rèn)知。隨著英特爾代工服務(wù)(IFS)的推出,讓客戶清晰了解情況比以往任何時(shí)候都顯得更加重要?;粮裾f(shuō):“今天公布的創(chuàng)新技術(shù)不僅有助于英特爾規(guī)劃產(chǎn)品路線圖,同時(shí)對(duì)我們的代工服務(wù)客戶也至關(guān)重要。業(yè)界對(duì)英特爾代工服務(wù)(IFS)有強(qiáng)烈的興趣,今天我很高興我們宣布了首次合作的兩位重要客戶。英特爾代工服務(wù)已揚(yáng)帆起航!”

英特爾技術(shù)專家詳述了以下路線圖,其中包含新的節(jié)點(diǎn)命名和實(shí)現(xiàn)每個(gè)制程節(jié)點(diǎn)的創(chuàng)新技術(shù):

基于FinFET晶體管優(yōu)化,Intel 7與Intel 10nm SuperFin相比,每瓦性能將提升約10%-15%。2021年即將推出的Alder Lake客戶端產(chǎn)品將會(huì)采Intel 7工藝,之后是面向數(shù)據(jù)中心的 Sapphire Rapids預(yù)計(jì)將于 2022 年第一季度投產(chǎn)。

Intel 4完全采用EUV光刻技術(shù),可使用超短波長(zhǎng)的光,刻印極微小的圖樣。憑借每瓦性能約20%的提升以及芯片面積的改進(jìn),Intel 4將在2022年下半年投產(chǎn),并于2023年出貨,這些產(chǎn)品包括面向客戶端的Meteor Lake和面向數(shù)據(jù)中心的Granite Rapids。

Intel 3憑借FinFET的進(jìn)一步優(yōu)化和在更多工序中增加對(duì)EUV使用,較之Intel 4將在每瓦性能上實(shí)現(xiàn)約18%的提升,在芯片面積上也會(huì)有額外改進(jìn)。Intel 3將于2023年下半年開(kāi)始用于相關(guān)產(chǎn)品生產(chǎn)。

Intel 20A將憑借RibbonFET和PowerVia兩大突破性技術(shù)開(kāi)啟埃米時(shí)代。RibbonFET是英特爾對(duì)Gate All Around晶體管的實(shí)現(xiàn),它將成為公司自2011年率先推出FinFET以來(lái)的首個(gè)全新晶體管架構(gòu)。該技術(shù)加快了晶體管開(kāi)關(guān)速度,同時(shí)實(shí)現(xiàn)與多鰭結(jié)構(gòu)相同的驅(qū)動(dòng)電流,但占用的空間更小。PowerVia是英特爾獨(dú)有的、業(yè)界首個(gè)背面電能傳輸網(wǎng)絡(luò),通過(guò)消除晶圓正面供電布線需求來(lái)優(yōu)化信號(hào)傳輸。Intel 20A預(yù)計(jì)將在2024年推出。英特爾也很高興能在Intel 20A制程工藝技術(shù)上,與高通公司進(jìn)行合作。

2025年及更遠(yuǎn)的未來(lái):從Intel 20A更進(jìn)一步的Intel 18A節(jié)點(diǎn)也已在研發(fā)中,將于2025年初推出,它將對(duì)RibbonFET進(jìn)行改進(jìn),在晶體管性能上實(shí)現(xiàn)又一次重大飛躍。英特爾還致力于定義、構(gòu)建和部署下一代High-NA EUV,有望率先獲得業(yè)界第一臺(tái)High-NA EUV光刻機(jī)。英特爾正與ASML密切合作,確保這一行業(yè)突破性技術(shù)取得成功,超越當(dāng)前一代EUV。

英特爾高級(jí)副總裁兼技術(shù)開(kāi)發(fā)總經(jīng)理Ann Kelleher博士表示:“英特爾有著悠久的制程工藝基礎(chǔ)性創(chuàng)新的歷史,這些創(chuàng)新均驅(qū)動(dòng)了行業(yè)的飛躍。我們引領(lǐng)了從90納米應(yīng)變硅向45納米高K金屬柵極的過(guò)渡,并在22納米時(shí)率先引入FinFET。憑借RibbonFET和PowerVia兩大開(kāi)創(chuàng)性技術(shù),Intel 20A將成為制程技術(shù)的另一個(gè)分水嶺?!?/p>

隨著英特爾全新IDM 2.0戰(zhàn)略的實(shí)施,封裝對(duì)于實(shí)現(xiàn)摩爾定律的益處變得更加重要。英特爾宣布,AWS將成為首個(gè)使用英特爾代工服務(wù)(IFS)封裝解決方案的客戶。英特爾對(duì)領(lǐng)先行業(yè)的先進(jìn)封裝路線圖提出:

EMIB作為首個(gè)2.5D嵌入式橋接解決方案將繼續(xù)引領(lǐng)行業(yè),英特爾自2017年以來(lái)一直在出貨EMIB產(chǎn)品。Sapphire Rapids將成為采用EMIB(嵌入式多芯片互連橋接)批量出貨的首個(gè)英特爾至強(qiáng)數(shù)據(jù)中心產(chǎn)品。它也將是業(yè)界首個(gè)提供幾乎與單片設(shè)計(jì)相同性能的,但整合了兩個(gè)光罩尺寸的器件。繼Sapphire Rapids之后,下一代EMIB的凸點(diǎn)間距將從55微米縮短至45微米。

Foveros利用晶圓級(jí)封裝能力,提供史上首個(gè)3D堆疊解決方案。Meteor Lake是在客戶端產(chǎn)品中實(shí)現(xiàn)Foveros技術(shù)的第二代部署。該產(chǎn)品具有36微米的凸點(diǎn)間距,不同晶片可基于多個(gè)制程節(jié)點(diǎn),熱設(shè)計(jì)功率范圍為5-125W。

Foveros Omni開(kāi)創(chuàng)了下一代Foveros技術(shù),通過(guò)高性能3D堆疊技術(shù)為裸片到裸片的互連和模塊化設(shè)計(jì)提供了無(wú)限制的靈活性。Foveros Omni允許裸片分解,將基于不同晶圓制程節(jié)點(diǎn)的多個(gè)頂片與多個(gè)基片混合搭配,預(yù)計(jì)將于2023年用到量產(chǎn)的產(chǎn)品中。

Foveros Direct實(shí)現(xiàn)了向直接銅對(duì)銅鍵合的轉(zhuǎn)變,它可以實(shí)現(xiàn)低電阻互連,并使得從晶圓制成到封裝開(kāi)始,兩者之間的界限不再那么截然。Foveros Direct實(shí)現(xiàn)了10微米以下的凸點(diǎn)間距,使3D堆疊的互連密度提高了一個(gè)數(shù)量級(jí),為功能性裸片分區(qū)提出了新的概念,這在以前是無(wú)法實(shí)現(xiàn)的。Foveros Direct是對(duì)Foveros Omni的補(bǔ)充,預(yù)計(jì)也將于2023年用到量產(chǎn)的產(chǎn)品中。

今天討論的突破性技術(shù)主要在英特爾俄勒岡州和亞利桑那州的工廠開(kāi)發(fā),這鞏固了英特爾作為美國(guó)唯一一家同時(shí)擁有芯片研發(fā)和制造能力的領(lǐng)先企業(yè)的地位。此外,這些創(chuàng)新還得益于與美國(guó)和歐洲合作伙伴生態(tài)系統(tǒng)的緊密合作。深入的合作關(guān)系是將基礎(chǔ)性創(chuàng)新從實(shí)驗(yàn)室研發(fā)投入到量產(chǎn)制造的關(guān)鍵,英特爾致力于與各地政府合作,強(qiáng)化供應(yīng)鏈,并推動(dòng)經(jīng)濟(jì)和國(guó)家安全。

線上發(fā)布會(huì)快結(jié)束的時(shí)候,英特爾宣布將舉辦“Intel Innovation”峰會(huì)并公布更多相關(guān)細(xì)節(jié)?!癐ntel Innovation”峰會(huì)將于2021年10月27日至28日在舊金山線下和線上舉行。

編輯:jq

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 英特爾
    +關(guān)注

    關(guān)注

    60

    文章

    9748

    瀏覽量

    170652
  • 晶體管
    +關(guān)注

    關(guān)注

    77

    文章

    9502

    瀏覽量

    136935

原文標(biāo)題:英特爾加速制程工藝和封裝技術(shù)創(chuàng)新

文章出處:【微信號(hào):TDK中國(guó),微信公眾號(hào):TDK中國(guó)】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    英特爾計(jì)劃最快2026年量產(chǎn)玻璃基板

    在全球半導(dǎo)體封裝技術(shù)的演進(jìn)中,英特爾近日宣布了一項(xiàng)引人注目的計(jì)劃——最快在2026年實(shí)現(xiàn)玻璃基板的量產(chǎn)。這一前瞻性的舉措不僅展示了英特爾技術(shù)創(chuàng)新
    的頭像 發(fā)表于 07-01 10:38 ?457次閱讀

    英特爾3nm制程工藝“Intel 3”投入大批量生產(chǎn)

    據(jù)外媒最新報(bào)道,全球知名的處理器大廠英特爾在周三宣布了一個(gè)重要的里程碑:其先進(jìn)的3nm級(jí)制程工藝技術(shù)“Intel 3”已在兩個(gè)工廠正式投入大批量生產(chǎn)。這一技術(shù)的突破,無(wú)疑將為
    的頭像 發(fā)表于 06-21 09:31 ?362次閱讀

    英特爾攜手日企加碼先進(jìn)封裝技術(shù)

    英特爾公司近日在半導(dǎo)體技術(shù)領(lǐng)域再有大動(dòng)作,加碼先進(jìn)封裝技術(shù),并與14家日本企業(yè)達(dá)成深度合作。此次合作中,英特爾
    的頭像 發(fā)表于 06-11 09:43 ?312次閱讀

    英特爾推進(jìn)面向未來(lái)節(jié)點(diǎn)的技術(shù)創(chuàng)新,在2025年后鞏固制程領(lǐng)先性

    英特爾正在按計(jì)劃實(shí)現(xiàn)其“四年五個(gè)制程節(jié)點(diǎn)”的目標(biāo),目前,Intel 7,采用EUV(極紫外光刻)技術(shù)的Intel 4和Intel 3均已實(shí)現(xiàn)大規(guī)模量產(chǎn)。正在順利推進(jìn)中的Intel 20A和Intel
    的頭像 發(fā)表于 05-16 15:38 ?285次閱讀

    英特爾押注18A制程,力爭(zhēng)重回技術(shù)領(lǐng)先地位

    據(jù)悉,18A 制程英特爾技術(shù)引領(lǐng)道路上的關(guān)鍵階段,雖非直接采用 1.8納米工藝,英特爾仍自豪宣稱其性能與晶體管密度媲美友商的 1.8 nm
    的頭像 發(fā)表于 02-29 15:13 ?543次閱讀

    英特爾推出面向AI時(shí)代的系統(tǒng)級(jí)代工,并更新制程技術(shù)路線圖

    英特爾公司近日宣布,將推出全新的系統(tǒng)級(jí)代工服務(wù)——英特爾代工(Intel Foundry),以滿足AI時(shí)代對(duì)先進(jìn)制程技術(shù)的需求。這一舉措標(biāo)志著英特爾
    的頭像 發(fā)表于 02-23 18:23 ?1384次閱讀

    英特爾宣布推進(jìn)1.4納米制程

    ,臺(tái)積電和三星已經(jīng)推出3納米制程芯片,而英特爾則剛剛實(shí)現(xiàn)了5納米制程。然而,這一決定表明英特爾有意在制程
    的頭像 發(fā)表于 02-23 11:23 ?378次閱讀

    英特爾量產(chǎn)3D Foveros封裝技術(shù)

    英特爾封裝技術(shù)方面取得了重大突破,并已經(jīng)開(kāi)始大規(guī)模生產(chǎn)基于3D Foveros技術(shù)的產(chǎn)品。這項(xiàng)技術(shù)使得
    的頭像 發(fā)表于 01-26 16:04 ?496次閱讀

    英特爾的2023:以強(qiáng)大執(zhí)行力推進(jìn)產(chǎn)品、技術(shù)創(chuàng)新

    創(chuàng)新,取得了多項(xiàng)突破,并以強(qiáng)大的執(zhí)行力穩(wěn)步按照既定路線圖發(fā)布新產(chǎn)品,支持“芯經(jīng)濟(jì)”的蓬勃發(fā)展。 具體而言,2023年英特爾技術(shù)和產(chǎn)品方面主要取得了以下進(jìn)展: 12月 英特爾推出新一代
    的頭像 發(fā)表于 12-29 14:33 ?311次閱讀
    <b class='flag-5'>英特爾</b>的2023:以強(qiáng)大執(zhí)行力推進(jìn)產(chǎn)品、<b class='flag-5'>技術(shù)創(chuàng)新</b>

    2023 英特爾On技術(shù)創(chuàng)新大會(huì)中國(guó)站,最佳“游覽指南”來(lái)了!

    2023?英特爾On技術(shù)創(chuàng)新大會(huì)中國(guó)站 即將上線! 中國(guó)專家將深度講解 最新一代增強(qiáng)AI能力的計(jì)算平臺(tái), 支持開(kāi)放、多架構(gòu)的軟件方案和工具, 塑造未來(lái)的技術(shù)和應(yīng)用創(chuàng)新。 大會(huì)有哪些干貨
    的頭像 發(fā)表于 12-16 16:25 ?569次閱讀
    2023 <b class='flag-5'>英特爾</b>On<b class='flag-5'>技術(shù)創(chuàng)新</b>大會(huì)中國(guó)站,最佳“游覽指南”來(lái)了!

    CPU如何加速AI部署與應(yīng)用?第五代英特爾? 至強(qiáng)? 給你支招!

    屬于各自所有者資產(chǎn)。 12月15日|2023英特爾新品發(fā)布會(huì)暨AI技術(shù)創(chuàng)新派對(duì)等你來(lái)! 平臺(tái)賦能,算力共建,智貫東西 “2023 英特爾算力大會(huì)暨東數(shù)西算大會(huì)”成功舉辦 2023 英特爾
    的頭像 發(fā)表于 12-16 16:15 ?403次閱讀
    CPU如何<b class='flag-5'>加速</b>AI部署與應(yīng)用?第五代<b class='flag-5'>英特爾</b>? 至強(qiáng)? 給你支招!

    2023?英特爾On技術(shù)創(chuàng)新大會(huì)中國(guó)站,相約12月19日!

    轉(zhuǎn)型行動(dòng)方案 英特爾宋繼強(qiáng):智慧教育的加速密碼——要算力井噴,更要產(chǎn)學(xué)融合 2023中關(guān)村論壇系列活動(dòng)——英特爾智能醫(yī)療健康創(chuàng)新合作論壇在京成功舉辦 原文標(biāo)題:2023?
    的頭像 發(fā)表于 12-01 20:40 ?513次閱讀
    2023?<b class='flag-5'>英特爾</b>On<b class='flag-5'>技術(shù)創(chuàng)新</b>大會(huì)中國(guó)站,相約12月19日!

    創(chuàng)新加速英特爾以全矩陣FPGA助產(chǎn)業(yè)智能化發(fā)展

    11月14日,以“創(chuàng)新加速,塑造FPGA芯未來(lái)”為主題的2023年英特爾?FPGA中國(guó)技術(shù)日在北京成功舉行。期間,英特爾不僅披露了包括Agi
    發(fā)表于 11-15 08:52 ?236次閱讀
    <b class='flag-5'>創(chuàng)新</b><b class='flag-5'>加速</b>,<b class='flag-5'>英特爾</b>以全矩陣FPGA助產(chǎn)業(yè)智能化發(fā)展

    #高通 #英特爾 #Elite 高通X Elite芯片或終結(jié)蘋(píng)果、英特爾的芯片王朝

    高通英特爾蘋(píng)果
    深圳市浮思特科技有限公司
    發(fā)布于 :2023年10月27日 16:46:07

    2023英特爾on技術(shù)創(chuàng)新大會(huì):英特爾研究院展示多項(xiàng)技術(shù)“魔法”

    中探索如何幫助人類應(yīng)對(duì)在計(jì)算、連接、從云到邊緣的基礎(chǔ)設(shè)施、AI、傳感和感知等領(lǐng)域面臨的重大技術(shù)挑戰(zhàn)。 這就是英特爾研究院(Intel Labs)在做的事情。2023英特爾on技術(shù)創(chuàng)新
    的頭像 發(fā)表于 09-26 17:25 ?415次閱讀
    2023<b class='flag-5'>英特爾</b>on<b class='flag-5'>技術(shù)創(chuàng)新</b>大會(huì):<b class='flag-5'>英特爾</b>研究院展示多項(xiàng)<b class='flag-5'>技術(shù)</b>“魔法”