0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

不同類型時(shí)鐘產(chǎn)品的特性及選擇時(shí)的注意事項(xiàng)

荷葉塘 ? 來源:電子發(fā)燒友網(wǎng) ? 作者:程文智 ? 2021-09-06 07:18 ? 次閱讀

電子發(fā)燒友網(wǎng)報(bào)道(文/程文智)不論我們?cè)O(shè)計(jì)的電子產(chǎn)品是應(yīng)用在云計(jì)算、無線LTE、數(shù)據(jù)中心,還是物聯(lián)網(wǎng)、汽車、醫(yī)療保健,或者是航空航天等領(lǐng)域,系統(tǒng)內(nèi)必然需要時(shí)鐘產(chǎn)品的支持,時(shí)鐘產(chǎn)品就如人類的脈搏一樣,只有在時(shí)鐘的支持下,電子產(chǎn)品才能正常工作。

那么時(shí)鐘產(chǎn)品有哪些種類,它們分別有什么特性,工程師在選用的時(shí)候需要注意些什么呢,我們今天就來一起聊一聊。

時(shí)鐘產(chǎn)品的分類

時(shí)鐘產(chǎn)品主要分為兩大類,一類是異步時(shí)鐘產(chǎn)品,它的功能是給本地單板提供工作時(shí)鐘,包括晶振、時(shí)鐘發(fā)生器,及時(shí)鐘緩沖器等等;另一類是同步時(shí)鐘產(chǎn)品,它的功能是跟蹤上下游時(shí)鐘產(chǎn)生同步之后的時(shí)鐘給下游使用,它主要包括濾抖芯片、同步以太網(wǎng)(SyncE)和IEEE1588時(shí)鐘產(chǎn)品等。

具體一點(diǎn)來分的話,工程師常用的時(shí)鐘產(chǎn)品主要是六個(gè)大類:
一是振蕩器,包括MEMS振蕩器和石英振蕩器,TCXO、MCXO、OCXO、EMXO、VCXO
、VCSO都是石英振蕩器。值得一提的是MEMS振蕩器和石英振蕩器是有本質(zhì)不同的。

二是時(shí)鐘生成器,主要是低抖動(dòng)、低功耗的時(shí)鐘發(fā)生器。

三是時(shí)鐘分配器,它實(shí)際上是時(shí)鐘緩沖器,包括低附加抖動(dòng)的各種各樣的緩沖器。詳細(xì)來看,有扇出緩沖器,零延時(shí)緩沖器,分頻器和多路開關(guān)等。

四是抖動(dòng)衰減產(chǎn)品,這類主要用在網(wǎng)絡(luò)里,或者是上下游,進(jìn)行時(shí)鐘濾抖的,比如抖動(dòng)濾波,時(shí)鐘轉(zhuǎn)換等。

五是時(shí)鐘同步產(chǎn)品,包括同步以太網(wǎng)時(shí)鐘芯片和IEEE1588的時(shí)鐘芯片。

六是其他簡(jiǎn)單的時(shí)鐘器件,包括555定時(shí)器,邏輯轉(zhuǎn)換器,交叉點(diǎn)開關(guān),觸發(fā)器/邏輯門等等。

接下來我們來看一看這些不同類型的時(shí)鐘產(chǎn)品都有哪些特點(diǎn),在選用不同類型的時(shí)鐘產(chǎn)品時(shí),有哪些注意事項(xiàng)。

晶體振蕩器和MEMS振蕩器

振蕩器產(chǎn)品分為兩類,一類是傳統(tǒng)的石英晶體振蕩器,第二大類是MEMS振蕩器。

對(duì)于傳統(tǒng)的石英晶體振蕩器,它們通常是屬于模塊,而不是傳統(tǒng)意義上基于硅的芯片,MEMS振蕩器則是傳統(tǒng)意義上的芯片。為什么這么說呢?

對(duì)于傳統(tǒng)的石英晶體振蕩器,通常有晶體、XO、VCXO、TCXO、OCXO等幾種。

對(duì)于晶體而言,雖然它內(nèi)部有振蕩電路,但是由于晶體是無源的,一般無法進(jìn)行獨(dú)立振蕩,用戶通常需要在外面加上合適的電阻電容,構(gòu)成外部諧振電路,才能讓它產(chǎn)生用戶需要的頻率。

XO或時(shí)鐘振蕩器是最基礎(chǔ)的振蕩器,由晶體和基本驅(qū)動(dòng)電路組成,內(nèi)部不但集成了諧振電路,還集成了驅(qū)動(dòng)電路。因此,用戶只需要提供一個(gè)穩(wěn)定的電源,就能產(chǎn)生所需要的頻點(diǎn)。但是由于沒有任何形式的補(bǔ)償,頻率穩(wěn)定基本上是依靠晶體本身的特性。

VCXO,即壓控晶體振蕩器,它內(nèi)部有一個(gè)VC的壓控端,用戶可以通過調(diào)整VC壓控端的電壓,從而讓VCXO成為了一個(gè)頻率可以微調(diào)的時(shí)鐘輸出。也就是說,它可以依賴石英晶體的特性,通過施加外部電壓來控制振蕩器的輸出頻率變化。

TCXO,即溫補(bǔ)晶體振蕩器,通過附加的溫度補(bǔ)償電路來補(bǔ)償因環(huán)境溫度變化而引起的振蕩器頻率變化。它的內(nèi)部包含一個(gè)溫度補(bǔ)償電路,當(dāng)外部環(huán)境溫度變化的時(shí)候,溫度補(bǔ)償電路會(huì)去補(bǔ)償因?yàn)闇囟鹊淖兓斐傻木w頻率的偏差,從而產(chǎn)生一個(gè)相對(duì)穩(wěn)定的頻率輸出。

但是,對(duì)于一些特殊的應(yīng)用場(chǎng)合,TCXO仍然是不能滿足要求的,因此,另外一種產(chǎn)品應(yīng)運(yùn)而生了,那就是OCXO。

OCXO,即恒溫晶體振蕩器,它內(nèi)部具有一個(gè)恒溫槽,恒溫槽會(huì)根據(jù)晶體不同的加工工藝,使恒溫槽保持在80~90℃的恒定范圍。也就是說諧振電路相當(dāng)于工作在空調(diào)房?jī)?nèi)一樣,從而保證了諧振電路輸出頻率的相對(duì)穩(wěn)定。

不論是無源的晶振還是有源的晶振,除了諧振電路之外,還需要一些輔助的電路,比如說溫度補(bǔ)償電路,恒溫槽的控制電路等等。

也就是說,此類產(chǎn)品中的諧振電路和輔助電路其實(shí)是放置在一個(gè)類似PCB的基板上,再與晶體封裝起來,形成了一個(gè)振蕩器產(chǎn)品。因此,對(duì)于傳統(tǒng)的石英晶體振蕩器來說,它是一個(gè)模塊,而不是一個(gè)基于硅片的IC。

對(duì)于MEMS振蕩器而言,是完全不一樣的,它是采用硅晶圓生產(chǎn)工藝制造,并通過電極產(chǎn)生靜電場(chǎng)來激勵(lì)諧振器。也就是說,它完全基于硅片,因此,MEMS振蕩器是一個(gè)IC產(chǎn)品。

正是因?yàn)檫@樣,石英晶體振蕩器與MEMS振蕩器之間是有一些差異的。由于MEMS振蕩器是基于硅的芯片,因此,它在抗振動(dòng)、抗沖擊、失效率、封裝和交貨時(shí)間,以及靈活性上,是遠(yuǎn)遠(yuǎn)領(lǐng)先于石英晶體振蕩器的。

圖1:MEMS振蕩器和晶體振蕩器的對(duì)比(來源:Microchip


但是,對(duì)于一些特殊的應(yīng)用場(chǎng)合,比如頻率穩(wěn)定度要求很高的場(chǎng)合,OCXO的頻率穩(wěn)定度要更高。因此,一些特殊應(yīng)用場(chǎng)合仍然會(huì)使用石英晶體振蕩器。

當(dāng)然,隨著技術(shù)的進(jìn)步,MEMS振蕩器的頻率穩(wěn)定度也在逐漸提升當(dāng)中,業(yè)內(nèi)目前有廠商可以提供與TCXO穩(wěn)定度相當(dāng)?shù)漠a(chǎn)品。

圖2:振蕩器的比較(來源:Microchip)


振蕩器產(chǎn)品有MEMS振蕩器、石英晶體振蕩器,以及原子鐘振蕩器。這一系列振蕩器產(chǎn)品中,他們的性能是完全不一樣的,比如說溫度穩(wěn)定性、老化率、24小時(shí)保持性能、相位噪聲性能等等,都是不一樣的。此外,功率和成本也完全不同。

工程師可以根據(jù)自己的實(shí)際情況去選擇合適的振蕩器產(chǎn)品,不管是哪種產(chǎn)品,那么通常需要關(guān)注哪些常見的參數(shù)呢?

一般來說,工程師在選擇振蕩器產(chǎn)品的時(shí)候,需要關(guān)注頻率穩(wěn)定性、初始容差、老化率、抖動(dòng)、相位噪聲和保持性能等等。當(dāng)然,對(duì)于一些特殊的應(yīng)用場(chǎng)合,和一些特殊的振蕩器產(chǎn)品,還有一些特殊的參數(shù)需要去關(guān)注。

接下來,我們看一個(gè)OCXO的典型應(yīng)用場(chǎng)景。

圖3:典型的OCXO應(yīng)用場(chǎng)景(來源:Microchip)


圖3是OCXO在無線產(chǎn)品中的典型應(yīng)用場(chǎng)景。OCXO在外部控制器其他電路的配合下,形成了一個(gè)閉環(huán)的模擬鎖相環(huán)(APLL),該APLL可以鎖定GPS提供的1pps的信號(hào)輸入,產(chǎn)生1pps的信號(hào)輸出和一個(gè)10MHz頻率的時(shí)鐘輸出,系統(tǒng)可以根據(jù)1pps的信號(hào)輸出和10MHz時(shí)鐘輸出做各種各樣的數(shù)據(jù)和信號(hào)處理。

時(shí)鐘發(fā)生器

時(shí)鐘發(fā)生器也可以稱為頻率合成器,一般來說需要外加晶體或振蕩器做為參考。時(shí)鐘發(fā)生器的主要功能是實(shí)現(xiàn)頻率變換,可以對(duì)參考源晶體或振蕩器頻率進(jìn)行倍頻或降頻,從而產(chǎn)生1路或多路輸出。

在時(shí)鐘發(fā)生器的內(nèi)部,實(shí)際上是一個(gè)模擬鎖相環(huán)(APLL),該APLL可以鎖定來自外部的參考時(shí)鐘,這個(gè)參考時(shí)鐘可以是上游提供的參考時(shí)鐘,也可以是振蕩器提供的參考時(shí)鐘。APLL可以把時(shí)鐘參考時(shí)鐘倍頻到高頻,比如將25MHz的信號(hào)倍頻到4GHz,然后基于4GHz再做分頻,從而產(chǎn)生各種各樣的頻率。

其實(shí)除了上面提到的APLL,還有數(shù)字鎖相環(huán)(DPLL),這兩者有何差異呢?對(duì)于APLL而言,固有抖動(dòng)非常好,但它有自己的缺點(diǎn),比如不夠靈活、帶寬不能做得很低、沒有辦法提供各種各樣的保持功能。

DPLL跟APLL恰好相反,它的固有抖動(dòng)比較差,但是它非常靈活、帶寬可以做得很低、能提供非常優(yōu)秀的基于算法的保持功能。

但其實(shí),不論是APLL,還是DPLL,他們都兩個(gè)共同點(diǎn),一是他們都是低通濾波器;二是他們都是閉環(huán)的負(fù)反饋系統(tǒng)。

復(fù)雜的系統(tǒng)中通常有多路時(shí)鐘域,每個(gè)時(shí)鐘由獨(dú)立的晶體或振蕩器產(chǎn)生。相比之下,時(shí)鐘發(fā)生器具備諸多優(yōu)勢(shì):高度集成、可代替多個(gè)晶體或振蕩器、占用電路板的空間少,以及可靠性高等。

那么,在選擇時(shí)鐘發(fā)生器時(shí),需要考慮哪些參數(shù)呢?一般來說,主要考慮的參數(shù)有:輸出時(shí)鐘可以支持的頻率范圍、輸出時(shí)鐘可以支持的時(shí)鐘域、輸出時(shí)鐘可以支持的路數(shù)、抖動(dòng)/相位噪聲等。

此外,還可根據(jù)需求考慮其他一些參數(shù),比如輸出電平可以支持的類型、是否支持SSC擴(kuò)頻時(shí)鐘、是否支持相位調(diào)節(jié)、是否需要零延時(shí)功能、是否支持默認(rèn)加載配置等。

圖4:Microchip的ZL30267的內(nèi)部結(jié)構(gòu)


拿Microchip的ZL30267時(shí)鐘發(fā)生器來說,它內(nèi)部有兩個(gè)APLL,它們同時(shí)鎖定到了同一個(gè)XO,然后產(chǎn)生了以太網(wǎng)絡(luò)需要的156.25MHz時(shí)鐘;PCIe系統(tǒng)所需要的100MHz時(shí)鐘;以及USB系統(tǒng)需要的48MHz時(shí)鐘。也就是說,通過這樣的時(shí)鐘發(fā)生器,可以產(chǎn)生各種各樣的頻點(diǎn),給單板做工作時(shí)鐘。

時(shí)鐘緩沖器

當(dāng)系統(tǒng)中需要多路時(shí)鐘信號(hào)時(shí),最有效的產(chǎn)生方法是使用時(shí)鐘緩沖器。而時(shí)鐘緩沖器實(shí)際上是一個(gè)比較簡(jiǎn)單的器件,本質(zhì)上來說,它實(shí)現(xiàn)了時(shí)鐘的驅(qū)動(dòng),比如說前面有一個(gè)時(shí)鐘進(jìn)來,可以通過時(shí)鐘緩沖器,實(shí)現(xiàn)多個(gè)相同頻段的輸出。

在選用時(shí)鐘緩沖器時(shí),工程師除了關(guān)注輸入輸出,以及輸出頻率等常規(guī)參數(shù)之外,尤其要注意另外一個(gè)參數(shù)是附加抖動(dòng)RMS。為什么關(guān)注該參數(shù)呢?因?yàn)閷?duì)于時(shí)鐘緩沖器產(chǎn)品,是會(huì)劣化時(shí)鐘的,也就是說,一個(gè)好的,低抖動(dòng)的時(shí)鐘輸入進(jìn)來,經(jīng)過時(shí)鐘緩沖器之后,時(shí)鐘的抖動(dòng)會(huì)變大。那么,抖動(dòng)變大之后的時(shí)鐘是否滿足應(yīng)用的要求,這一點(diǎn)工程師需要多多關(guān)注一下。

圖5:選擇不同時(shí)鐘緩沖器類型時(shí)需要考慮的參數(shù)



濾抖芯片

濾抖芯片,顧名思義就是濾除抖動(dòng),既然可以濾除抖動(dòng),那么芯片內(nèi)部必然是一個(gè)低通的濾波器,濾抖芯片通常會(huì)有兩種架構(gòu)類型,第一種是基于模擬鎖相環(huán)的濾抖芯片,由于模擬鎖相環(huán)的帶寬不能做到非常低,因此基于模擬鎖相環(huán)的濾抖芯片不能實(shí)現(xiàn)非常好的濾抖效果。

另一種是基于數(shù)字鎖相環(huán)加上模擬鎖相環(huán)的濾抖芯片,這種芯片把DPLL和APLL的優(yōu)點(diǎn)結(jié)合在一起。

圖6:ZL30169內(nèi)部架構(gòu)


比如Microchip的ZL30169,其內(nèi)部就是基于DPLL和APLL架構(gòu)的濾抖芯片。它前面是輸入的參考時(shí)鐘,后面是參考時(shí)鐘的監(jiān)視器、DPLL模塊、APLL模塊,分頻器模塊,輸出的驅(qū)動(dòng)電路等。根據(jù)其內(nèi)部框圖,可以看出,除了模塊之外,對(duì)于內(nèi)部有DPLL模塊的鎖相環(huán)而言,通常還需要外部提供一個(gè)工作時(shí)鐘,該工作時(shí)鐘在給DPLL提供工作時(shí)鐘的同時(shí),還會(huì)用這個(gè)工作時(shí)鐘來監(jiān)控輸入?yún)⒖荚吹淖兓?br />
同步以太網(wǎng)和IEEE1588時(shí)鐘產(chǎn)品

同步以太網(wǎng)和IEEE 1588時(shí)鐘產(chǎn)品內(nèi)部基本上采用了DPLL+APLL的架構(gòu)。為什么采用這類架構(gòu)呢,因?yàn)檫@樣可以利用APLL抖動(dòng)性能好,DPLL比較靈活的特點(diǎn)。

同步以太網(wǎng)和IEEE1588時(shí)鐘產(chǎn)品通常要滿足復(fù)雜的協(xié)議,比如物理層的7.813,7.862協(xié)議等。對(duì)于DPLL而言,它內(nèi)部是可編程的,因此,我們可以在DPLL上面處理各自的算法,這個(gè)算法就可以保證滿足同步以太網(wǎng)和1588的相關(guān)協(xié)議。

圖7:時(shí)鐘同步類型


一般來說,時(shí)鐘的同步有三種類型:頻率同步、相位同步,以及時(shí)間同步。它們之間是不一樣的。

對(duì)于頻率同步,他們之間的相差是固定的,但是沿是無法對(duì)齊的;對(duì)于相位同步,相差是固定的,沿也是對(duì)齊的,但每一個(gè)沿產(chǎn)生的時(shí)刻可能是不一樣的;而時(shí)間同步,每一個(gè)沿產(chǎn)生的時(shí)刻是一樣的,因此我們稱之為時(shí)間同步。

拿電信網(wǎng)絡(luò)來說,整個(gè)的電信網(wǎng)絡(luò)的時(shí)鐘頻率精度必須完全相同,才能完成相互通信;而電信網(wǎng)絡(luò)實(shí)際上是逐級(jí)逐跳傳遞同步信號(hào)的。具體來說,電信網(wǎng)絡(luò)最開始的高精度時(shí)鐘信號(hào)由原子時(shí)鐘產(chǎn)生,一般原子時(shí)鐘會(huì)作為第一跳的設(shè)備,第一級(jí)的設(shè)備會(huì)把同步信號(hào)傳遞到第二級(jí),第二級(jí)會(huì)把同步信號(hào)傳遞給第三級(jí)、第四級(jí)等等。

逐級(jí)逐跳傳遞過程中,通過什么來實(shí)現(xiàn)的呢,其實(shí)就是通過同步以太網(wǎng)和IEEE1588來實(shí)現(xiàn)的。

圖8:同步以太網(wǎng)時(shí)鐘的應(yīng)用。


具體來看一下同步以太網(wǎng)時(shí)鐘在具體設(shè)備和網(wǎng)絡(luò)中,是如何傳遞的,首先上游同步以太網(wǎng)會(huì)給PHY一個(gè)參考的工作時(shí)鐘,PHY收到時(shí)鐘后,會(huì)基于這個(gè)時(shí)鐘,把數(shù)據(jù)傳遞給下一級(jí),在接收端,接收端會(huì)把時(shí)鐘和數(shù)據(jù)解出來,提取出來的時(shí)鐘,會(huì)再給鎖相環(huán)芯片,鎖相環(huán)芯片鎖定這個(gè)時(shí)鐘,產(chǎn)生一個(gè)新的時(shí)鐘,給PHY的SerDes,它再基于這個(gè)時(shí)鐘,把數(shù)據(jù)傳遞出去,從而實(shí)現(xiàn)了物理層的逐級(jí)逐跳的時(shí)鐘傳遞的過程。

設(shè)備的內(nèi)部的同步是怎么樣來傳遞的?電信系統(tǒng)一般是多卡系統(tǒng),主備2個(gè)時(shí)鐘卡和多個(gè)線路卡,輸入同步信號(hào)從PHY接收器恢復(fù)傳輸?shù)綍r(shí)鐘卡系統(tǒng)時(shí)鐘,再由時(shí)鐘卡處理后分發(fā)信號(hào)傳輸?shù)剿芯€路卡PHY發(fā)送器。

時(shí)鐘卡和線路卡上都會(huì)放置鎖相環(huán)芯片,但是它們實(shí)現(xiàn)的功能是不一樣的,時(shí)鐘卡上的鎖相環(huán)可以濾除時(shí)序干擾(漂移),并在外部時(shí)序源暫時(shí)丟失時(shí)提供保持功能,以及滿足各種各樣的復(fù)雜協(xié)議;線路卡上的鎖相環(huán)芯片可以濾除抖動(dòng)和轉(zhuǎn)換時(shí)鐘頻率。

圖9:IEEE1588的應(yīng)用


IEEE1588的傳遞跟同步以太網(wǎng)的傳遞有點(diǎn)不一樣。它是把時(shí)間信號(hào)放在報(bào)文內(nèi)。逐級(jí)逐跳往下傳遞的。對(duì)數(shù)字鎖相環(huán),或者模擬鎖相環(huán)而言,它是一個(gè)低通濾波器,同時(shí)也是一個(gè)閉環(huán)的負(fù)反饋系統(tǒng),對(duì)于IEEE1588而言,它也是是一個(gè)閉環(huán)的負(fù)反饋系統(tǒng)。但是它的反饋不在芯片內(nèi)部,而在于板級(jí),或者系統(tǒng)上。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    無刷直流電機(jī)驅(qū)動(dòng)器注意事項(xiàng)選擇指南

    電子發(fā)燒友網(wǎng)站提供《無刷直流電機(jī)驅(qū)動(dòng)器注意事項(xiàng)選擇指南.pdf》資料免費(fèi)下載
    發(fā)表于 09-09 10:00 ?0次下載
    無刷直流電機(jī)驅(qū)動(dòng)器<b class='flag-5'>注意事項(xiàng)</b>和<b class='flag-5'>選擇</b>指南

    逆變器線纜選擇注意事項(xiàng)與攻略

    均對(duì)光伏系統(tǒng)的整體性能產(chǎn)生深遠(yuǎn)影響。以下是對(duì)逆變器線纜選擇注意事項(xiàng)及安裝常見問題的詳細(xì)解析。 一、逆變器線纜的選擇 1.交直流線纜的選擇 直流電纜:光伏組件與逆變器之間的連接通常使用直
    的頭像 發(fā)表于 08-15 17:25 ?416次閱讀
    逆變器線纜<b class='flag-5'>選擇</b><b class='flag-5'>注意事項(xiàng)</b>與攻略

    GPS、北斗衛(wèi)星同步時(shí)鐘天線安裝注意事項(xiàng)?

    使用, 請(qǐng)?jiān)趨⒖嫉倪m用濕度范圍(-25℃ ~+80 ℃)內(nèi)使用。 (4)避免在過熱或過冷環(huán)境使用, 請(qǐng)?jiān)趨⒖嫉倪m用溫度范圍(85 RH 以下) 內(nèi)使用。 2、在進(jìn)行GPS/北斗衛(wèi)星時(shí)鐘天線安裝時(shí)所要注意的安全事項(xiàng)。 (1)人身安
    的頭像 發(fā)表于 07-16 14:32 ?302次閱讀
    GPS、北斗衛(wèi)星同步<b class='flag-5'>時(shí)鐘</b>天線安裝<b class='flag-5'>注意事項(xiàng)</b>?

    FMD LINK 使用注意事項(xiàng)

    電子發(fā)燒友網(wǎng)站提供《FMD LINK 使用注意事項(xiàng).pdf》資料免費(fèi)下載
    發(fā)表于 05-06 10:11 ?0次下載

    測(cè)速電機(jī)選型時(shí)6大注意事項(xiàng)

    測(cè)速電機(jī)選型時(shí)6大注意事項(xiàng):一 確定測(cè)速電機(jī)測(cè)速范圍和精度。不同的應(yīng)用場(chǎng)景對(duì)測(cè)速范圍和精度有不同的要求,因此我們需要依據(jù)實(shí)際需求來選擇合適的測(cè)速電機(jī)型號(hào)。 二 測(cè)速電機(jī)的功率和轉(zhuǎn)速特性。功率和轉(zhuǎn)速取決于測(cè)速電機(jī)的運(yùn)行能力,因此我
    的頭像 發(fā)表于 03-06 14:22 ?608次閱讀
    測(cè)速電機(jī)選型時(shí)6大<b class='flag-5'>注意事項(xiàng)</b>

    單板上時(shí)鐘注意事項(xiàng) 單板上時(shí)鐘晶體下面鋪地的好處

    單板上時(shí)鐘注意事項(xiàng) 單板上時(shí)鐘晶體下面鋪地的好處 單板上時(shí)鐘是指將時(shí)鐘晶體組件直接鋪裝在電路板上,這種設(shè)計(jì)方案在電子設(shè)備中廣泛應(yīng)用。在介紹
    的頭像 發(fā)表于 02-06 16:06 ?452次閱讀

    光纜安裝的主要注意事項(xiàng)

    光纜安裝的主要注意事項(xiàng)? 光纜安裝是建設(shè)光纖網(wǎng)絡(luò)的關(guān)鍵步驟之一,其正確安裝對(duì)于網(wǎng)絡(luò)質(zhì)量和穩(wěn)定性至關(guān)重要。下面將為大家詳細(xì)介紹光纜安裝的主要注意事項(xiàng)。 首先,光纜安裝前要進(jìn)行規(guī)劃和設(shè)計(jì)。在實(shí)施光纜安裝
    的頭像 發(fā)表于 12-27 15:02 ?608次閱讀

    霍爾元件使用的注意事項(xiàng)

    霍爾元件使用的注意事項(xiàng)? 霍爾元件是一種常見的電子元件,主要用于測(cè)量和檢測(cè)磁場(chǎng)的變化。它具有靈敏度高、響應(yīng)速度快、耐磁場(chǎng)干擾等優(yōu)點(diǎn),在各種應(yīng)用中得到廣泛使用。然而,為了確?;魻栐恼9ぷ骱脱娱L其
    的頭像 發(fā)表于 12-18 14:56 ?1013次閱讀

    電流互感器的使用注意事項(xiàng)

    當(dāng)談到電流互感器的使用時(shí),有一些重要的注意事項(xiàng)需要我們牢記。在本文中,我們將探討這些注意事項(xiàng),為您提供詳細(xì)和全面的信息。
    的頭像 發(fā)表于 12-15 10:34 ?1073次閱讀
    電流互感器的使用<b class='flag-5'>注意事項(xiàng)</b>

    聯(lián)軸器使用有哪些注意事項(xiàng)?

    聯(lián)軸器在實(shí)際應(yīng)用中根據(jù)所傳遞轉(zhuǎn)矩大小可分為重型、中型、小型和輕型。那么怎么選擇合適聯(lián)軸器,使用的時(shí)候又有哪些注意事項(xiàng)呢?
    發(fā)表于 11-30 09:21 ?714次閱讀
    聯(lián)軸器使用有哪些<b class='flag-5'>注意事項(xiàng)</b>?

    運(yùn)放做跟隨器應(yīng)用時(shí)的三點(diǎn)注意事項(xiàng)

    運(yùn)放做跟隨器應(yīng)用時(shí)的三點(diǎn)注意事項(xiàng) 運(yùn)放作為一種重要的電子元件,在跟隨器應(yīng)用中發(fā)揮著重要的作用。但是,在使用運(yùn)放做跟隨器時(shí),我們需要注意以下三點(diǎn)事項(xiàng),以確保系統(tǒng)的正常運(yùn)行和準(zhǔn)確性。 第一點(diǎn)注意事
    的頭像 發(fā)表于 11-23 10:33 ?700次閱讀

    VGA OUT 的PCB設(shè)計(jì)注意事項(xiàng)

    VGA OUT 的PCB設(shè)計(jì)注意事項(xiàng)
    的頭像 發(fā)表于 11-23 09:04 ?654次閱讀

    射頻連接器使用技巧與注意事項(xiàng)

    應(yīng)用中的挑戰(zhàn)。 第一點(diǎn),正確選擇連接器類型。不同應(yīng)用場(chǎng)景和設(shè)備需要使用不同類型的射頻連接器。常見的射頻連接器類型有SMA、BNC、N、TNC等。在選購連接器時(shí),應(yīng)根據(jù)設(shè)備的需求和射頻
    的頭像 發(fā)表于 10-30 11:48 ?980次閱讀

    電源濾波器如何正確使用?電源濾波器安裝時(shí)的注意事項(xiàng)?

    電力設(shè)備內(nèi),如計(jì)算機(jī)、電視機(jī)、音響系統(tǒng)等,以保證設(shè)備能夠正常工作,減少噪音和干擾。 本文將介紹電源濾波器的使用方法和安裝注意事項(xiàng)。 一、電源濾波器的使用方法 1.選擇正確的電源濾波器。不同的使用場(chǎng)景需要使用不同類型的電源濾波器,
    的頭像 發(fā)表于 10-25 15:48 ?1469次閱讀

    網(wǎng)絡(luò)設(shè)備選擇的技巧及注意事項(xiàng)

    電子發(fā)燒友網(wǎng)站提供《網(wǎng)絡(luò)設(shè)備選擇的技巧及注意事項(xiàng).doc》資料免費(fèi)下載
    發(fā)表于 10-25 09:15 ?0次下載
    網(wǎng)絡(luò)設(shè)備<b class='flag-5'>選擇</b>的技巧及<b class='flag-5'>注意事項(xiàng)</b>