0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

在FPGA設(shè)計中FIFO的使用技巧

FPGA設(shè)計論壇 ? 來源:EETOP ? 作者:lucien_1986 ? 2021-09-09 11:15 ? 次閱讀

FIFO是在FPGA設(shè)計中使用的非常頻繁,也是影響FPGA設(shè)計代碼穩(wěn)定性以及效率等得關(guān)鍵因素。在數(shù)據(jù)連續(xù)讀取時,為了能不間斷的讀出數(shù)據(jù)而又不導(dǎo)致FIFO為空后還錯誤的讀出數(shù)據(jù)。可以將FIFO的Empty和Almost_empty以及讀使能配合起來使用,來保證能夠連續(xù)讀,并準(zhǔn)確的判斷FIFO空滿狀態(tài),提前決定是否能啟動讀使能。

具體的實施辦法是:當(dāng)Empty為1,立即停止讀;當(dāng)Empty為0,Almost_empty為0時,可以放心讀;當(dāng)Empty為0,但是Almost_empty為1時,如果上一拍讀使能Read也為1,那么不能讀;當(dāng)Empty為0,但是Almost_empty為1時,如果上一拍讀使能Read為0,可以讀最后一拍。

在FIFO使用時,使用到Almost_full信號以及讀寫counter來控制FIFO的讀滿預(yù)警,如果數(shù)據(jù)不是在空滿判斷的下一拍寫入FIFO,則設(shè)計FIFO的滿預(yù)警時要小心。如果你不確定判斷滿預(yù)警之后要延遲多少拍才能真正寫入FIFO,那么盡量讓FIFO有足夠滿預(yù)警裕量。

例如,在wr_data_count為128才是真的滿了,你可以設(shè)成wr_data_count為120的時候就給出滿預(yù)警,可以保證設(shè)計的可靠和安全。當(dāng)然,如果你能準(zhǔn)確的算出判斷滿預(yù)警與真正寫入FIFO的延遲,可以用精確的滿預(yù)警閾值。

當(dāng)需要使用到數(shù)據(jù)位寬轉(zhuǎn)換時,如將128位的數(shù)據(jù)轉(zhuǎn)換成64位的數(shù)據(jù),最好不要用XILINX自己生成的位寬轉(zhuǎn)換FIFO??梢岳瘍蓚€64位的FIFO,自己控制128轉(zhuǎn)64。這樣可以大大的節(jié)省資源,是XILINX CORE生成的FIFO資源的一半。

另外,當(dāng)需要使用到位寬大于18bits,且深度小于等于512的FIFO時,建議使用XILINX COREGenerator來產(chǎn)生,它可以將一個36bits位寬512深度的FIFO在一個18×1024的BLOCK RAM中實現(xiàn)。如果我們自己用BLOCK RAM來實現(xiàn)一個FIFO,那只能例化一個36×1024的BLOCK RAM基元,造成浪費。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598879
  • Xilinx
    +關(guān)注

    關(guān)注

    70

    文章

    2136

    瀏覽量

    120376
  • fifo
    +關(guān)注

    關(guān)注

    3

    文章

    382

    瀏覽量

    43400

原文標(biāo)題:FIFO使用技巧

文章出處:【微信號:gh_9d70b445f494,微信公眾號:FPGA設(shè)計論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    FPGA人工智能的應(yīng)用有哪些?

    和安全的云計算和網(wǎng)絡(luò)服務(wù)。 三、具體應(yīng)用場景 圖像分類:圖像分類任務(wù)FPGA可以承擔(dān)前置處理、圖像卷積、全連接等任務(wù)。通過FPGA的并行計算能力,可以大幅提高算法運行速度和處理性
    發(fā)表于 07-29 17:05

    如何使用FX3同步從屬fifo模式通過FPGA傳輸傳感器數(shù)據(jù)?

    作為多路復(fù)用器選擇引腳。 不過,切換到從屬 FIFO 之前,我們固件調(diào)用了[i]CyU3PGpioDeInit(),以啟用 GPIF II
    發(fā)表于 07-17 08:04

    如何獲取FIFO接收的字節(jié)數(shù)?

    我正在嘗試 UART0 上接收一個字符串,并在最基本的步驟獲取 RX FIFO 緩沖區(qū)可用的字節(jié)數(shù)。 我試著使用神奇的公式fifo_l
    發(fā)表于 07-10 06:03

    同步FIFO和異步FIFO區(qū)別介紹

    1. FIFO簡介 FIFO是一種先進先出數(shù)據(jù)緩存器,它與普通存儲器的區(qū)別是沒有外部讀寫地址線,使用起來非常簡單,缺點是只能順序讀寫,而不能隨機讀寫。 2. 使用場景 數(shù)據(jù)緩沖:也就是數(shù)據(jù)寫入過快
    的頭像 發(fā)表于 06-04 14:27 ?755次閱讀
    同步<b class='flag-5'>FIFO</b>和異步<b class='flag-5'>FIFO</b>區(qū)別介紹

    FPGA能否正確接收來自FX3同步從站FIFO的數(shù)據(jù)?

    您好,我無法理解 AN65974 描述的時序圖,以下是我從 FPGA Verilog 的角度對時序的理解, 1.復(fù)位時,SLCS、SLRD 和 SLOE 全部斷態(tài)。 2. t1 時,斷定
    發(fā)表于 05-31 08:09

    FX3與FPGA的連接線是否可以省略地址線?

    您好, FX3 同步從 FIFO 示例,F(xiàn)X3 的 GPIF 充當(dāng)從 FIFO,外部 FPGA 充當(dāng)主
    發(fā)表于 05-31 06:21

    STM32FIFO指的是什么?

    STM32,FIFO指的是什么?是數(shù)據(jù)結(jié)構(gòu)還是硬件上的寄存器還是其他的東西?請老師們指教
    發(fā)表于 04-12 07:14

    同步從fifo的例程,如何理解U2P和P2U的工作方式?

    我想問一下同步從fifo的例程,如何理解U2P和P2U的工作方式,官方的文檔解釋有些抽象 如果FPGA通過FX3實現(xiàn)數(shù)據(jù)向PC的傳輸?shù)脑?,通過GPIF II 接口將數(shù)據(jù)放進去
    發(fā)表于 02-28 06:47

    談一談FIFO的深度

    最近加的群里面有些萌新進行討論**FIFO的深度**的時候,覺得 **FIFO的深度計算比較難以理解** 。所
    的頭像 發(fā)表于 11-28 16:19 ?1107次閱讀
    談一談<b class='flag-5'>FIFO</b>的深度

    FPGA學(xué)習(xí)-異步FIFO原型設(shè)計與驗證

    ? 點擊上方 藍字 關(guān)注我們 ? 第一節(jié):fifo基礎(chǔ) ? ? 內(nèi)容: 1. 掌握FPGA設(shè)計關(guān)于數(shù)據(jù)緩存的使用 2. 掌握FIFO工作原理
    的頭像 發(fā)表于 11-17 14:00 ?402次閱讀

    FIFO為什么不能正常工作?

    FIFO的情形。 FPGA設(shè)計,我們會經(jīng)常用到異步FIFO進行跨時鐘域隔離。作為已經(jīng)非常成熟的設(shè)計,AMD提供
    的頭像 發(fā)表于 11-02 09:25 ?980次閱讀
    <b class='flag-5'>FIFO</b>為什么不能正常工作?

    簡述一種fifo讀控制的不合理設(shè)計案例

    本文將簡述一種fifo讀控制的不合理設(shè)計案例,在此案例,異常報文將會堵在fifo,造成頭阻塞。
    的頭像 發(fā)表于 10-30 14:25 ?308次閱讀
    簡述一種<b class='flag-5'>fifo</b>讀控制的不合理設(shè)計案例

    請問異步FIFO的溢出操作時怎么樣判斷的?

    請問異步FIFO的溢出操作時怎么樣判斷的? 異步FIFO是數(shù)據(jù)傳輸?shù)囊环N常用方式,一些儲存器和計算機系統(tǒng),常常會用到異步FIFO。作為一
    的頭像 發(fā)表于 10-18 15:28 ?1401次閱讀

    同步FIFO和異步FIFO的區(qū)別 同步FIFO和異步FIFO各在什么情況下應(yīng)用

    同步FIFO和異步FIFO的區(qū)別 同步FIFO和異步FIFO各在什么情況下應(yīng)用? 1. 同步FIFO和異步
    的頭像 發(fā)表于 10-18 15:23 ?1462次閱讀

    為什么異步fifo讀地址同步寫時鐘域時序分析不通過?

    為什么異步fifo讀地址同步寫時鐘域時序分析不通過? 異步FIFO讀地址同步寫時鐘域時序
    的頭像 發(fā)表于 10-18 15:23 ?565次閱讀