0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

System Verilog與verilog的對(duì)比

FPGA設(shè)計(jì)論壇 ? 來源:CSDN博客 ? 作者:limanjihe ? 2021-09-28 17:12 ? 次閱讀

SystemVerilog語言簡介

SystemVerilog是一種硬件描述和驗(yàn)證語言(HDVL),它基于IEEE1364-2001 Verilog硬件描述語言(HDL),并對(duì)其進(jìn)行了擴(kuò)展,包括擴(kuò)充了C語言數(shù)據(jù)類型、結(jié)構(gòu)、壓縮和非壓縮數(shù)組、 接口、斷言等等,這些都使得SystemVerilog在一個(gè)更高的抽象層次上提高了設(shè)計(jì)建模的能力。SystemVerilog由Accellera開發(fā),它主要定位在芯片的實(shí)現(xiàn)和驗(yàn)證流程上,并為系統(tǒng)級(jí)的設(shè)計(jì)流程提供了強(qiáng)大的連接能力。

下面我們從幾個(gè)方面對(duì)SystemVerilog所作的增強(qiáng)進(jìn)行簡要的介紹,期望能夠通過這個(gè)介紹使大家對(duì)SystemVerilog有一個(gè)概括性的了解。1. 接口(Interface)Verilog模塊之間的連接是通過模塊端口進(jìn)行的。為了給組成設(shè)計(jì)的各個(gè)模塊定義端口,我們必須對(duì)期望的硬件設(shè)計(jì)有一個(gè)詳細(xì)的認(rèn)識(shí)。不幸的是,在設(shè)計(jì)的早期,我們很難把握設(shè)計(jì)的細(xì)節(jié)。而且,一旦模塊的端口定義完成后,我們也很難改變端口的配置。

另外,一個(gè)設(shè)計(jì)中的許多模塊往往具有相同的端口定義,在Verilog中,我們必須在每個(gè)模塊中進(jìn)行相同的定義,這為我們?cè)黾恿藷o謂的工作量。SystemVerilog提供了一個(gè)新的、高層抽象的模塊連接,這個(gè)連接被稱為接口(Interface)。

接口在關(guān)鍵字interface和endinterface之間定義,它獨(dú)立于模塊。接口在模塊中就像一個(gè)單一的端口一樣使用。在最簡單的形式下,一個(gè)接口可以認(rèn)為是一組線網(wǎng)。例如,可以將PCI總線的所有信號(hào)綁定在一起組成一個(gè)接口。通過使用接口,我們?cè)谶M(jìn)行一個(gè)設(shè)計(jì)的時(shí)候可以不需要首先建立各個(gè)模塊間的互連。隨著設(shè)計(jì)的深入,各個(gè)設(shè)計(jì)細(xì)節(jié)也會(huì)變得越來越清晰,而接口內(nèi)的信號(hào)也會(huì)很容易地表示出來。當(dāng)接口發(fā)生變化時(shí),這些變化也會(huì)在使用該接口的所有模塊中反映出來,而無需更改每一個(gè)模塊。

下面是一個(gè)接口的使用實(shí)例:interface chip_bus;// 定義接口wireread_request, read_grant;wire [7:0]address, data;endinterface: chip_bus module RAM(chip_bus io, // 使用接口inputclk);//可以使用io.read_request引用接口中的一個(gè)信號(hào)

endmodule module CPU(chip_busio, input clk);。..endmodule module top;reg clk = 0;chip_busa; // 實(shí)例接口//將接口連接到模塊實(shí)例RAM mem(a,clk);CPU cpu(a,clk);endmodule

實(shí)際上,SystemVerilog的接口不僅僅可以表示信號(hào)的綁定和互連。由于SystemVerilog的接口中可以包含參數(shù)、常量、變量、結(jié)構(gòu)、函數(shù)、任務(wù)、initial塊、always塊以及連續(xù)賦值語句,所以SystemVerilog的接口還可以包含內(nèi)建的協(xié)議檢查以及被使用該接口的模塊所共用的功能。2. 全局聲明和語句在Verilog中,除了一個(gè)模塊可以作為模塊實(shí)例引用其他模塊外,并不存在一個(gè)全局空間。另外,Verilog允許任意數(shù)目的頂層模塊,因此會(huì)產(chǎn)生毫無關(guān)聯(lián)的層次樹。SystemVeriog增加了一個(gè)被稱為$root的隱含的頂級(jí)層次。任何在模塊邊界之外的聲明和語句都存在于$root空間中。

所有的模塊,無論它處于哪一個(gè)設(shè)計(jì)層次,都可以引用$root中聲明的名字。這樣,如果某些變量、函數(shù)或其它信息被設(shè)計(jì)中的所有模塊共享,那么我們就可以將它們作為全局聲明和語句。全局聲明和語句的一個(gè)使用實(shí)例如下:reg error_flag; // 全局變量function compare(。..);

// 全局函數(shù)always@(error_flag) // 全局語句。..module test;chip1 u1(。..)endmodulemodule chip1(。..);FSM u2(。..);always@(data)error_flag= compare(data, expected);endmodule module FSM(。..);

。..always @(state)error_flag= compare(state, expected);endmodule

3. 時(shí)間單位和精度在Verilog中,表示時(shí)間的值使用一個(gè)數(shù)來表示,而不帶有任何時(shí)間單位。例如:forever #5clock= ~clock;

從這一句中我們無法判斷5代表的是5ns? 5ps? 還是其他。Verilog的時(shí)間單位和精度是作為每一個(gè)模塊的屬性,并使用編譯器指令`timescale來設(shè)置。使用這種方法具有固有的缺陷,因?yàn)榫幾g器指令的執(zhí)行依賴于源代碼的編譯順序,編譯器總是將它遇到的最后一個(gè)`timescale設(shè)置的時(shí)間單位和精度作為之后的標(biāo)準(zhǔn)。那么,假如有些模塊之前沒有使用`timescale設(shè)置時(shí)間單位和精度,這就有可能出現(xiàn)同一個(gè)源代碼的不同仿真會(huì)出現(xiàn)不同結(jié)果的情況。SystemVerilog為了控制時(shí)間單位加入了兩個(gè)重要的增強(qiáng)。首先,時(shí)間值可以顯式地指定一個(gè)單位。時(shí)間單位可以是s、ms、ns、ps或fs。時(shí)間單位作為時(shí)間值的后綴出現(xiàn)。例如:forever #5nsclock= ~clock;

其次,SystemVerilog允許使用新的關(guān)鍵字(timeunits和timeprecision)來指定時(shí)間單位和精度。這些聲明可以在任何模塊中指定,同時(shí)也可以在$root空間中全局指定。時(shí)間單位和精度必須是10的冪,范圍可以從s到fs。例如:timeunits 1ns;timeprecision 10ps;

4. 抽象數(shù)據(jù)類型Verilog提供了面向底層硬件的線網(wǎng)、寄存器和變量數(shù)據(jù)類型。這些類型代表了4態(tài)邏輯值,通常用來在底層上對(duì)硬件進(jìn)行建模和驗(yàn)證。線網(wǎng)數(shù)據(jù)類型還具有多個(gè)強(qiáng)度級(jí)別,并且能夠?yàn)槎囹?qū)動(dòng)源的線網(wǎng)提供解析功能。SystemVerilog包括了C語言的char和int數(shù)據(jù)類型,它允許在Verilog模型和驗(yàn)證程序中直接使用C和C++代碼。VerilogPLI不再需要集成總線功能模型、算法模型和C函數(shù)。SystemVerilog還為Verilog加入了幾個(gè)新的數(shù)據(jù)類型,以便能夠在更抽象的層次上建模硬件。

l char:一個(gè)兩態(tài)的有符號(hào)變量,它與C語言中的char數(shù)據(jù)類型相同,可以是一個(gè)8位整數(shù)(ASCII)或short int(Unicode);

l int:一個(gè)兩態(tài)的有符號(hào)變量,它與C語言中的int數(shù)據(jù)類型相似,但被精確地定義成32位;l shortint:一個(gè)兩態(tài)的有符號(hào)變量,被精確地定義成16位;

l longint:一個(gè)兩態(tài)的有符號(hào)變量,它與C語言中的long數(shù)據(jù)類型相似,但被精確地定義成64位;l byte:一個(gè)兩態(tài)的有符號(hào)變量,被精確地定義成8位;

l bit:一個(gè)兩態(tài)的可以具有任意向量寬度的無符號(hào)數(shù)據(jù)類型,可以用來替代Verilog的reg數(shù)據(jù)類型;

l logic:一個(gè)四態(tài)的可以具有任意向量寬度的無符號(hào)數(shù)據(jù)類型,可以用來替代Verilog的線網(wǎng)或reg數(shù)據(jù)類型,但具有某些限制;l shortreal:一個(gè)兩態(tài)的單精度浮點(diǎn)變量,與C語言的float類型相同;

l void:表示沒有值,可以定義成一個(gè)函數(shù)的返回值,與C語言中的含義相同。SystemVerilog的bit和其他數(shù)據(jù)類型允許用戶使用兩態(tài)邏輯對(duì)設(shè)計(jì)建模,這種方法對(duì)仿真性能更有效率。由于Verilog語言沒有兩態(tài)數(shù)據(jù)類型,因此許多仿真器都通過將這種功能作為仿真器的一個(gè)選項(xiàng)提供。這些選項(xiàng)不能夠在所有的仿真器之間移植,而且在需要時(shí)用三態(tài)或四態(tài)邏輯的設(shè)計(jì)中強(qiáng)制使用兩態(tài)邏輯還具有副作用。

SystemVerilog的bit數(shù)據(jù)類型能夠極大改進(jìn)仿真器的性能,同時(shí)在需要的時(shí)候仍然可以使用三態(tài)或四態(tài)邏輯。通過使用具有確定行為的數(shù)據(jù)類型來代替專有的仿真器選項(xiàng),兩態(tài)模型能夠在所有的SystemVerilog仿真器間移植。SystemVerilog的logic數(shù)據(jù)類型比Verilog的線網(wǎng)和寄存器數(shù)據(jù)類型更加靈活,它使得在任何抽象層次上建模硬件都更加容易。

logic類型能夠以下面的任何一種方法賦值:l 通過任意數(shù)目的過程賦值語句賦值,能夠替代Verilog的reg類型;l 通過單一的連續(xù)賦值語句賦值,能夠有限制地替代Verilog的wire類型;l 連接到一個(gè)單一原語的輸出,能夠有限制地替代Verilog的wire類型;由于logic數(shù)據(jù)類型能夠被用來替代Verilog的reg或wire(具有限制),這就使得能夠在一個(gè)更高的抽象層次上建模,并且隨著設(shè)計(jì)的不斷深入能夠加入一些設(shè)計(jì)細(xì)節(jié)而不必改變數(shù)據(jù)類型的聲明。logic數(shù)據(jù)類型不會(huì)表示信號(hào)的強(qiáng)度也不具有線邏輯的解析功能,因此logic數(shù)據(jù)類型比Verilog的wire類型更能有效地仿真和綜合。

5. 有符號(hào)和無符號(hào)限定符缺省情況下,Verilog net和reg數(shù)據(jù)類型是無符號(hào)類型,integer類型是一個(gè)有符號(hào)類型。Verilog-2001標(biāo)準(zhǔn)允許使用signed關(guān)鍵字將無符號(hào)類型顯式地聲明成有符號(hào)類型。SystemVerilog加入了相似的能力,它可以通過unsigned關(guān)鍵字將有符號(hào)數(shù)據(jù)類型顯式地聲明成有無符號(hào)數(shù)據(jù)類型。例如:intunsigned j;

值得注意的是unsigned在Verilog中是一個(gè)保留字,但并沒有被Verilog標(biāo)準(zhǔn)使用。6. 用戶定義的類型Verilog不允許用戶定義新的數(shù)據(jù)類型。SystemVerilog通過使用typedef提供了一種方法來定義新的數(shù)據(jù)類型,這一點(diǎn)與C語言類似。用戶定義的類型可以與其它數(shù)據(jù)類型一樣地使用在聲明當(dāng)中。例如:typedefunsigned int uint;uint a, b;

一個(gè)用戶定義的數(shù)據(jù)類型可以在它的定義之前使用,只要它首先在空的typedef中說明,例如:typedef int48; // 空的typedef,在其他地方進(jìn)行完整定義int48 c;

7. 枚舉類型在Verilog語言中不存在枚舉類型。標(biāo)識(shí)符必須被顯式地聲明成一個(gè)線網(wǎng)、變量或參數(shù)并被賦值。SystemVerilog允許使用類似于C的語法產(chǎn)生枚舉類型。一個(gè)枚舉類型具有一組被命名的值。缺省情況下,值從初始值0開始遞增,但是我們可以顯式地指定初始值。枚舉類型的例子如下:enum {red,yellow, green} RGB;enum {WAIT=2’b01, LOAD, DONE} states;

我們還可以使用typedef為枚舉類型指定一個(gè)名字,從而允許這個(gè)枚舉類型可以在許多地方使用。例如:typedefenum {FALSE=1’b0, TRUE} boolean;boolean ready;booleantest_complete;

8. 結(jié)構(gòu)體和聯(lián)合體在Verilog語言中不存在結(jié)構(gòu)體或聯(lián)合體,而結(jié)構(gòu)體或聯(lián)合體在將幾個(gè)聲明組合在一起的時(shí)候非常有用。SystemVerilog增加了結(jié)構(gòu)體和聯(lián)合體,它們的聲明語法類似于C。struct { reg [15:0] opcode; reg [23:0] addr;} IR; union { int I; shortreal f;} N;

結(jié)構(gòu)體或聯(lián)合體中的域可以通過在變量名和域名字之間插入句點(diǎn)(。)來引用:IR.opcode = 1; // 設(shè)置IR變量中的opcode域N.f = 0.0; // 將N設(shè)置成浮點(diǎn)數(shù)的值

我們可以使用typedef為結(jié)構(gòu)體或聯(lián)合體的定義指定一個(gè)名字。typedefstruct{ reg [7:0] opcode; reg [23:0] addr;} instruction; // 命名的結(jié)構(gòu)體instruction IR; // 結(jié)構(gòu)體實(shí)例

一個(gè)結(jié)構(gòu)體可以使用值的級(jí)聯(lián)來完整地賦值,例如:instruction = {5,200};

結(jié)構(gòu)體可以作為一個(gè)整體傳遞到函數(shù)或任務(wù),也可以從函數(shù)或任務(wù)傳遞過來,也可以作為模塊端口進(jìn)行傳遞。9. 數(shù)組在Verilog中可以聲明一個(gè)數(shù)組類型,reg和線網(wǎng)類型還可以具有一個(gè)向量寬度。在一個(gè)對(duì)象名前面聲明的尺寸表示向量的寬度,在一個(gè)對(duì)象名后面聲明的尺寸表示數(shù)組的深度。例如:reg [7:0] r1 [1:256]; // 256個(gè)8位的變量

在SystemVerilog中我們使用不同的術(shù)語表示數(shù)組:使用“壓縮數(shù)組(packed array)”這一術(shù)語表示在對(duì)象名前聲明尺寸的數(shù)組;使用“非壓縮數(shù)組(unpacked array)”這一術(shù)語表示在對(duì)象名后面聲明尺寸的數(shù)組。壓縮數(shù)組可以由下面的數(shù)據(jù)類型組成:bit、logic、reg、wire以及其它的線網(wǎng)類型。無論是壓縮數(shù)組還是非壓縮數(shù)組都可以聲明成多維的尺寸。bit [7:0] a; // 一個(gè)一維的壓縮數(shù)組bit b [7:0]; //一個(gè)一維的非壓縮數(shù)組bit [0:11] [7:0] c; //一個(gè)二維的壓縮數(shù)組bit [3:0] [7:0] d [1:10]; // 一個(gè)包含10個(gè)具有4個(gè)8位字節(jié)的壓縮數(shù)組的非壓縮數(shù)組

非壓縮尺寸在壓縮尺寸之前引用,這就允許將整個(gè)壓縮數(shù)組作為一個(gè)單一的元素進(jìn)行引用。在上面的例子中,d[1]引用非壓縮數(shù)組的一個(gè)單一元素,這個(gè)元素是一個(gè)包含4個(gè)字節(jié)的數(shù)組。10. 在為命名的塊中聲明Verilog允許變量在一個(gè)命名的begin-end或fork-join語句組中聲明。相對(duì)于語句組來說,這些變量是本地的,但它們可以被層次化地引用。在SystemVerilog中,既可以在命名的塊中也可以在未命名的塊中聲明。在未命名的塊中,不能夠使用層次名來訪問變量。所有的變量類型,包括用戶定義的類型、枚舉類型、結(jié)構(gòu)體和聯(lián)合體都可以在begin-end或fork-join語句組中聲明。11. 常量在Verilog中有三種特性類型的常量:parameter、specparam和localparam。而在SystemVerilog中,允許使用const關(guān)鍵字聲明常量。例如:const charcolon= “:”;

12. 可重定義的數(shù)據(jù)類型SystemVerilog擴(kuò)展了Verilog的parameter,使其可以包含類型。這個(gè)強(qiáng)大的功能使得一個(gè)模塊中的數(shù)據(jù)類型在模塊的每一個(gè)實(shí)例中重新定義。例如:module foo; # (parameter typeVAR_TYPE = shortint;) (input logic[7:0] i, output logic [7:0] o); VAR_TYPE j = 0; // 如果不重新定義,j的數(shù)據(jù)類型為shortint …endmodule module bar; logic [3:0] i, o; foo#(.VAR_TYPE(int)) u1 (i, o); // 重新將VAR_TYPE定義成int類型endmodule

13. 模塊端口連接在Verilog中,可以連接到模塊端口的數(shù)據(jù)類型被限制為線網(wǎng)類型以及變量類型中的reg、integer和time。而在SystemVerilog中則去除了這種限制,任何數(shù)據(jù)類型都可以通過端口傳遞,包括實(shí)數(shù)、數(shù)組和結(jié)構(gòu)體。14. 字母值在Verilog中,當(dāng)指定或賦值字母值的時(shí)候存在一些限制。而SystemVerilog則為字母值如何指定作了下面的增強(qiáng):l 一個(gè)字母值的所有位均可以使用`0、`1、`z或`x作相同的填充。這就允許填充一個(gè)任意寬度的向量,而無需顯式地指定向量的寬度,例如:bit [63:0] data;data = `1; //將data的所有位設(shè)置成1

l 一個(gè)字符串可以賦值成一個(gè)字符數(shù)組,象C語言一樣加入一個(gè)空結(jié)束符。如果尺寸不同,它象C中一樣進(jìn)行左調(diào)整,例如:char foo[0:12] = “hello worldn”;

l 加入了幾個(gè)特殊的串字符:v:垂直TABf:換頁a:響鈴x02:用十六進(jìn)制數(shù)來表示一個(gè)ASCII字符l 數(shù)組可以使用類似于C初始化的語法賦值成字符值,但它還允許復(fù)制操作符。括號(hào)的嵌套必須精確地匹配數(shù)組的維數(shù)(這一點(diǎn)與C不同),例如:int n[1: 2] [1:3] = {{0, 1, 2}, {3{4}}};

15. 強(qiáng)制類型轉(zhuǎn)換Verilog不能將一個(gè)值強(qiáng)制轉(zhuǎn)換成不同的數(shù)據(jù)類型。SystemVerilog通過使用《type》’操作符提供了數(shù)據(jù)類型的強(qiáng)制轉(zhuǎn)換功能。這種強(qiáng)制轉(zhuǎn)換可以轉(zhuǎn)換成任意類型,包括用戶定義的類型。例如:int’ (2.0 *3.0) // 將結(jié)果轉(zhuǎn)換為int類型mytype’ (foo) // 將foo轉(zhuǎn)換為mytype類型

一個(gè)值還可以通過在強(qiáng)制轉(zhuǎn)換操作符前指定一個(gè)10進(jìn)制數(shù)來轉(zhuǎn)換成不同的向量寬度,例如:17’(x- 2) // 將結(jié)果轉(zhuǎn)換為17位寬度

也可以將結(jié)果轉(zhuǎn)換成有符號(hào)值,例如:signed’(x) // 將x轉(zhuǎn)換為有符號(hào)值

16. 操作符Verilog沒有C語言的遞增(++)和遞減(--)操作符。而SystemVerilog加入了幾個(gè)新的操作符:l ++和--:遞增和遞減操作符;l +=、-=、*=、/=、%=、&=、^=、|=、《《=、》》=、《《《=和》》》=賦值操作符;17. 唯一性和優(yōu)先級(jí)決定語句在Verilog中,如果沒有遵循嚴(yán)格的編碼風(fēng)格,它的if-else和case語句會(huì)在RTL仿真和RTL綜合間具有不一致的結(jié)果。如果沒有正確使用full_case和parallel_case綜合指令還會(huì)引起一些其它的錯(cuò)誤。

SystemVerilog能夠顯式地指明什么時(shí)候一條決定語句的分支是唯一的,或者什么時(shí)候需要計(jì)算優(yōu)先級(jí)。我們可以在if或case關(guān)鍵字之前使用unique或requires關(guān)鍵字。這些關(guān)鍵字可以向仿真器、綜合編譯器、以及其它工具指示我們期望的硬件類型。工具使用這些信息來檢查if或case語句是否正確建模了期望的邏輯。例如,如果使用unique限定了一個(gè)決定語句,那么在不希望的case值出現(xiàn)的時(shí)候仿真器就能夠發(fā)布一個(gè)警告信息。

bit [2:0]a;unique if((a==0) || (a==1)) y= in1;else if (a==2) y=in2;else if (a==4) y=in3; // 值3、5、6、7會(huì)引起一個(gè)警告 priorityif (a[2:1]==0) y = in1; // a是0或1else if (a[2]==0) y = in2; // a是2或3else y = in3; // 如果a為其他的值 unique case (a) 0, 1: y = in1; 2: y = in2; 4: y = in3;endcase // 值3、5、6、7會(huì)引起一個(gè)警告 prioritycasez(a) 2’b00?: y = in1; // a是0或1 2’b0?? : y = in2; // a是2或3 default : y = in3; //如果a為其他的值endcase

18. 底部檢測(cè)的循環(huán)Verilog包含for、while和repeat循環(huán),這幾個(gè)循環(huán)都是在循環(huán)的起始處檢測(cè)循環(huán)條件。SystemVerilog加入了一個(gè)do-while循環(huán),這種循環(huán)在執(zhí)行語句的結(jié)尾處檢測(cè)循環(huán)條件。19. 跳轉(zhuǎn)語句在語句的執(zhí)行過程中,C語言提供了幾種方式來跳轉(zhuǎn)到新的語句,包括:return、break、continue和goto。在Verilog中除了通過使用disable語句跳轉(zhuǎn)到語句組的尾部外,沒有提供任何其它跳轉(zhuǎn)語句。使用disable語句執(zhí)行中止和繼續(xù)功能要求加入塊的名字,并且會(huì)產(chǎn)生不直觀的代碼。

SystemVerilog加入了C語言的break和continue關(guān)鍵字,這兩個(gè)關(guān)鍵字不要求使用塊名字。另外,SystemVerilog還加入了一個(gè)return關(guān)鍵字,它可以用來在任何執(zhí)行點(diǎn)上退出一個(gè)任務(wù)或函數(shù)。l break:退出一個(gè)循環(huán),與C語言相同;l continue:跳轉(zhuǎn)到一個(gè)循環(huán)的尾部,與C語言相同;l return 表達(dá)式:退出一個(gè)函數(shù);l return:退出一個(gè)任務(wù)或void類型的函數(shù)。SystemVerilog沒有包含C語言中的goto語句。20. 塊名字和語句標(biāo)簽在Verilog中,我們可以通過在begin或fork關(guān)鍵字之后指定名字來為begin-end或fork-jion語句指定名字。這個(gè)指定的名字代表整個(gè)語句塊。

SystemVerilog還允許在end或jion關(guān)鍵字之后指定一個(gè)匹配的塊名字。這種機(jī)制很容易將end或jion與對(duì)應(yīng)的begin或fork聯(lián)系起來,尤其是在一個(gè)長的塊或嵌套的塊中。塊結(jié)尾處的名字是可選的,但如果使用的話,它必須與塊起始處的名字相同。例如:begin: foo // 在begin之后的塊名字 … fork: bar // 具有名字的嵌套的塊… jion: bar // 必須具有相同的名字 …end: foo // 必須具有相同的名字

SystemVerilog還允許像C語言一樣為單個(gè)語句設(shè)置標(biāo)簽。語句標(biāo)簽放置在語句的前面,用來標(biāo)識(shí)這條語句。例如:initialbegin test1: read_enable = 0; … test2: for (i=0; i《=255; i++)…end

21. 對(duì)事件控制的增強(qiáng)Verilog使用@標(biāo)記來控制基于特定事件的執(zhí)行流,SystemVerilog增強(qiáng)了@事件控制。l 有條件的事件控制@標(biāo)記的一個(gè)基本應(yīng)用就是推斷一個(gè)具有使能輸入的鎖存器。下面的例子演示了一個(gè)鎖存器建模的基本風(fēng)格。always @(data or en) if (en)y《=data;

這種編碼風(fēng)格對(duì)仿真來說是效率低下的,因?yàn)榧词乖谑鼓茌斎霟o效的時(shí)候,數(shù)據(jù)輸入的每次改變都會(huì)觸發(fā)事件控制。SystemVerilog在事件控制中加入了一個(gè)iff條件。只有iff條件為真的條件下,事件控制才會(huì)被觸發(fā)。通過將使能判斷移入到事件控制里面,使得只有在鎖存器輸出能夠改變的時(shí)候事件控制才會(huì)被觸發(fā)。例如:always @(a or en iff en==1) y《=a;

l 事件控制中的表達(dá)式Verilog允許在@事件控制列表中使用表達(dá)式,例如:always @((a * b))always @(memory[address])

在第一個(gè)例子中,是當(dāng)操作數(shù)發(fā)生改變的時(shí)候還是只有當(dāng)運(yùn)算結(jié)果發(fā)生改變的時(shí)候才會(huì)觸發(fā)事件控制?在第二個(gè)例子中,是當(dāng)memory的地址發(fā)生變化的時(shí)候還是只有當(dāng)memory的值發(fā)生變化的時(shí)候才會(huì)觸發(fā)事件控制?當(dāng)@事件控制中包含表達(dá)式的時(shí)候,IEEE Verilog標(biāo)準(zhǔn)允許仿真器進(jìn)行不同的優(yōu)化。這就可能導(dǎo)致在不同的仿真器間有不同的仿真結(jié)果,可能還會(huì)導(dǎo)致仿真與綜合之間的結(jié)果不一致。

SystemVerilog加入了一個(gè)changed關(guān)鍵字,在事件控制列表中它被用作一個(gè)修飾符。@(changed (表達(dá)式))能夠顯式地定義只有當(dāng)表達(dá)式的結(jié)果發(fā)生改變的時(shí)候才會(huì)觸發(fā)事件控制。例如:always @(changed (a * b))always @(changed memory[address])

l 事件控制中的賦值Verilog不允許在事件控制中使用賦值。SystemVerilog允許在事件控制中使用賦值表達(dá)式。事件控制僅僅敏感于賦值表達(dá)式右側(cè)的變化。例如:always @(y = a * b)

22. 新的過程Verilog使用always過程來表示時(shí)序邏輯、組合邏輯和鎖存邏輯的RTL模型。綜合工具和其它軟件工具必須根據(jù)過程起始處的事件控制列表以及過程內(nèi)的語句來推斷always過程的意圖。這種推斷會(huì)導(dǎo)致仿真結(jié)果和綜合結(jié)果之間的不一致。SystemVerilog增加了三個(gè)新的過程來顯式地指示邏輯的意圖。l always_ff:表示時(shí)序邏輯的過程;l always_comb:表示組合邏輯的過程;l always_latch:表示鎖存邏輯的過程。例如:always_comb@(aor b or sel) begin if (sel) y = a; else y = b;end

軟件工具能夠檢查事件控制敏感列表和過程的內(nèi)容來保證邏輯的功能匹配過程的類型。例如,工具能夠檢查一個(gè)always_comb過程能夠敏感過程內(nèi)讀取的所有外部值,對(duì)邏輯的每一個(gè)分支的相同變量進(jìn)行賦值,并且檢查分支是否覆蓋了所有可能的條件。如果任何一個(gè)條件沒有滿足,軟件工具均會(huì)報(bào)告該過程沒有正確建模組合邏輯。23. 動(dòng)態(tài)過程Verilog通過使用fork-jion提供了一種靜態(tài)的并發(fā)過程。

每一個(gè)分支都是一個(gè)分離的、并行的過程。fork-jion中任何語句的執(zhí)行必須在組內(nèi)的每一個(gè)過程完成后才會(huì)執(zhí)行。例如:initialbegin forksend_packet_task (1,255, 0);send_packet_task (7,128, 5);watch_result_task (1,255, 0);watch_result_task (7,128, 5); jion // 所有的任務(wù)必須完成后才會(huì)到達(dá)這里end

SystemVerilog通過process關(guān)鍵字加入了一個(gè)新的、動(dòng)態(tài)的過程。它為一個(gè)過程產(chǎn)生分支,然后繼續(xù)執(zhí)行而無需等待其他過程完成。過程不會(huì)阻塞過程或任務(wù)內(nèi)的語句執(zhí)行。這種方式能夠建模多線程的過程。例如:initialbegin process send_packet_task (1,255, 0);processsend_packet_task(7, 128, 5);processwatch_result_task(1, 255, 0);processwatch_result_task(7, 128, 5);end //所有的過程并行運(yùn)行

24. 任務(wù)和函數(shù)增強(qiáng)SystemVerilog為Verilog的任務(wù)和函數(shù)作了幾個(gè)增強(qiáng)。l 靜態(tài)和自動(dòng)的存儲(chǔ)缺省情況下,在Verilog任務(wù)或函數(shù)內(nèi)的所有存儲(chǔ)都是靜態(tài)的。Verilog-2001允許將任務(wù)和函數(shù)聲明成自動(dòng)的。

在SystemVerilog中:(1)。 在一個(gè)靜態(tài)任務(wù)和函數(shù)內(nèi)的特定數(shù)據(jù)可以顯式地聲明成自動(dòng)的。聲明成自動(dòng)的數(shù)據(jù)在塊中具有完整的生命周期,并且在任務(wù)和函數(shù)調(diào)用的入口處初始化;

(2)。 在一個(gè)自動(dòng)的任務(wù)或函數(shù)中的特定數(shù)據(jù)可以顯式地聲明成靜態(tài)的。自動(dòng)的任務(wù)或函數(shù)中聲明成靜態(tài)的數(shù)據(jù)在一個(gè)塊的本地范圍內(nèi)具有靜態(tài)的生命周期。

l 從任何點(diǎn)返回Verilog在一個(gè)任務(wù)或函數(shù)中執(zhí)行到endtask或endfunction關(guān)鍵字的時(shí)候返回。函數(shù)的返回值是給函數(shù)名賦的最后一個(gè)值。SystemVerilog加入了一個(gè)return關(guān)鍵字,使用這個(gè)關(guān)鍵字,一個(gè)任務(wù)或函數(shù)可以在任何點(diǎn)上返回。

l 多語句Verilog要求一個(gè)任務(wù)或函數(shù)只具有一個(gè)語句或語句塊。多條語句必須組合到一個(gè)單一的begin-end或fork-jion塊中。SystemVerilog去除了這種限制。因此,多條語句可以在一個(gè)任務(wù)或函數(shù)中列出而無需使用的begin-end或fork-jion。每有分組的語句就像在begin-end中一樣順序執(zhí)行。我們還可以產(chǎn)生一個(gè)沒有語句的任務(wù)或函數(shù)定義。

l void函數(shù)Verilog要求一個(gè)函數(shù)具有一個(gè)返回值,函數(shù)的調(diào)用接收這個(gè)返回值。SystemVerilog加入了一個(gè)void數(shù)據(jù)類型,這個(gè)數(shù)據(jù)類型可以作為一個(gè)函數(shù)的返回值類型。void函數(shù)可以像Verilog任務(wù)一樣進(jìn)行調(diào)用,而無需接收一個(gè)返回值。void函數(shù)和任務(wù)的差別在于函數(shù)存在幾個(gè)限制,例如沒有時(shí)間控制等。

l 函數(shù)的輸入和輸出Verilog標(biāo)準(zhǔn)要求一個(gè)函數(shù)至少具有一個(gè)輸入并且函數(shù)只能具有輸入。SystemVerilog去除了這些限制。函數(shù)可以具有任意數(shù)目的輸入、輸出以及輸入輸出,也可以什么也沒有。25. 連續(xù)賦值的增強(qiáng)在Verilog中,連續(xù)賦值語句的左側(cè)只能是線網(wǎng)類型,例如wire。

連續(xù)賦值語句被認(rèn)為是線網(wǎng)的驅(qū)動(dòng)源,而線網(wǎng)可以擁有任意數(shù)據(jù)的驅(qū)動(dòng)源。SystemVerilog允許除reg類型以外的任何數(shù)據(jù)類型用于連續(xù)賦值語句的左側(cè)。與線網(wǎng)不同,所有其它數(shù)據(jù)類型被限制為只能有一個(gè)連續(xù)賦值語句驅(qū)動(dòng)。為相同的變量混合使用連續(xù)賦值語句和過程賦值語句是不被允許的。26. $bit系統(tǒng)函數(shù)在Verilog中沒有類似于C語言中sizeof的函數(shù)。SystemVerilog加入一個(gè)新的$bit內(nèi)建函數(shù)。這個(gè)函數(shù)返回保存一個(gè)值所需的硬件位的數(shù)目(一個(gè)四態(tài)值要求一個(gè)硬件位),這個(gè)函數(shù)還可以用來確定一個(gè)結(jié)構(gòu)體所代表的硬件位的數(shù)目。

27. `define的增強(qiáng)SystemVerilog增強(qiáng)了`define編譯器指令的能力以便支持將字符串作為宏的參數(shù)。宏的文本字符串中可以包含一個(gè)隔離的引號(hào),它的前面必須具有一個(gè)反勾號(hào)(`”),這就允許字符串中包含宏參數(shù)。宏文本可以在行的尾部包含一個(gè)反斜杠(’’)來表示在下一行繼續(xù)。如果宏文本字符串中包含反斜杠,則反斜杠應(yīng)該被放在兩個(gè)反勾號(hào)之間,這樣它就不會(huì)被認(rèn)為是Verilog轉(zhuǎn)義標(biāo)識(shí)符的開始。宏文本字符串還可以包含雙反勾號(hào)(``),它允許標(biāo)識(shí)符能夠從參數(shù)中構(gòu)建。這些增強(qiáng)使得`define指令更加靈活。例如:`include指令后可以緊跟一個(gè)宏名字來替代一個(gè)字符串。`define f1“。./project_top/opcode_defines”`include `f1

28. 狀態(tài)機(jī)建模SystemVerilog允許在更高的抽象層次上對(duì)狀態(tài)機(jī)建模。這些結(jié)構(gòu)包括:l 枚舉類型l 一個(gè)特殊的state數(shù)據(jù)類型;l 一個(gè)遷移語句l 一個(gè)遷移操作符29. 斷言SystemVerilog中加入了斷言的功能來改善系統(tǒng)的驗(yàn)證過程。30. 結(jié)論SystemVerilog為Verilog-2001標(biāo)準(zhǔn)提供了一系列的擴(kuò)展。這些擴(kuò)展使得大型設(shè)計(jì)的建模和驗(yàn)證更加容易。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • cpu
    cpu
    +關(guān)注

    關(guān)注

    68

    文章

    10698

    瀏覽量

    209324
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109712
  • HDL
    HDL
    +關(guān)注

    關(guān)注

    8

    文章

    324

    瀏覽量

    47229

原文標(biāo)題:System Verilog的概念以及與verilog的對(duì)比

文章出處:【微信號(hào):gh_9d70b445f494,微信公眾號(hào):FPGA設(shè)計(jì)論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    Verilog的版本有哪些

    電子發(fā)燒友網(wǎng)站提供《Verilog的版本有哪些.docx》資料免費(fèi)下載
    發(fā)表于 05-31 11:29 ?0次下載

    Verilog到VHDL轉(zhuǎn)換的經(jīng)驗(yàn)與技巧總結(jié)

    Verilog與VHDL語法是互通且相互對(duì)應(yīng)的,如何查看二者對(duì)同一硬件結(jié)構(gòu)的描述,可以借助EDA工具,如Vivado,打開Vivado后它里面的語言模板后,也可以對(duì)比查看Verilog和VHDL之間的差異。
    的頭像 發(fā)表于 04-28 17:47 ?1598次閱讀
    <b class='flag-5'>Verilog</b>到VHDL轉(zhuǎn)換的經(jīng)驗(yàn)與技巧總結(jié)

    verilog中input和output作用

    Verilog中,input和output用于定義模塊的輸入和輸出端口。它們是用于通信的關(guān)鍵元素,定義了模塊與其它模塊之間的數(shù)據(jù)傳輸接口。通過input和output端口,模塊之間可以互相傳遞數(shù)據(jù)
    的頭像 發(fā)表于 02-23 10:29 ?2206次閱讀

    verilog與其他編程語言的接口機(jī)制

    Verilog是一種硬件描述語言,用于描述數(shù)字電路的行為和結(jié)構(gòu)。與其他編程語言相比,Verilog具有與硬件緊密結(jié)合的特點(diǎn),因此其接口機(jī)制也有一些與眾不同之處。本文將詳細(xì)介紹Verilog與其他編程
    的頭像 發(fā)表于 02-23 10:22 ?466次閱讀

    verilog調(diào)用模塊端口對(duì)應(yīng)方式

    Verilog是一種硬件描述語言(HDL),廣泛應(yīng)用于數(shù)字電路設(shè)計(jì)和硬件驗(yàn)證。在Verilog中,模塊是構(gòu)建電路的基本單元,而模塊端口對(duì)應(yīng)方式則用于描述模塊之間信號(hào)傳遞的方式。本文將介紹
    的頭像 發(fā)表于 02-23 10:20 ?1080次閱讀

    verilog雙向端口的使用

    Verilog硬件描述語言中,端口是指連接模塊(Module)與其他模塊、寄存器或是物理設(shè)備的輸入或輸出接口。單向端口可以作為輸入或輸出使用,而雙向端口具有雙重作用,既可以接收輸入信號(hào),又可以輸出
    的頭像 發(fā)表于 02-23 10:18 ?972次閱讀

    verilog inout用法與仿真

    Verilog語言是一種硬件描述語言(HDL),用于描述數(shù)字邏輯電路和系統(tǒng)。它是一種非常強(qiáng)大且廣泛使用的語言,在數(shù)字電路設(shè)計(jì)中扮演著重要的角色。其中, inout 是Verilog中的一種信號(hào)類型
    的頭像 發(fā)表于 02-23 10:15 ?1946次閱讀

    verilog中for循環(huán)是串行執(zhí)行還是并行執(zhí)行

    Verilog中,for循環(huán)是并行執(zhí)行的。Verilog是一種硬件描述語言,用于描述和設(shè)計(jì)數(shù)字電路和系統(tǒng)。在硬件系統(tǒng)中,各個(gè)電路模塊是同時(shí)運(yùn)行的,并且可以并行執(zhí)行多個(gè)操作。因此,在Verilog
    的頭像 發(fā)表于 02-22 16:06 ?2083次閱讀

    verilog function函數(shù)的用法

    Verilog 是一種硬件描述語言 (HDL),主要用于描述數(shù)字電子電路的行為和結(jié)構(gòu)。在 Verilog 中,函數(shù) (Function) 是一種用于執(zhí)行特定任務(wù)并返回一個(gè)值的可重用代碼塊。函數(shù)在
    的頭像 發(fā)表于 02-22 15:49 ?3910次閱讀

    verilog同步和異步的區(qū)別 verilog阻塞賦值和非阻塞賦值的區(qū)別

    Verilog是一種硬件描述語言,用于設(shè)計(jì)和模擬數(shù)字電路。在Verilog中,同步和異步是用來描述數(shù)據(jù)傳輸和信號(hào)處理的兩種不同方式,而阻塞賦值和非阻塞賦值是兩種不同的賦值方式。本文將詳細(xì)解釋
    的頭像 發(fā)表于 02-22 15:33 ?1170次閱讀

    verilog中函數(shù)和任務(wù)對(duì)比

    verilog中,函數(shù)和任務(wù)均用來描述共同的代碼段,并且在模式內(nèi)任意位置被調(diào)用,提高代碼效率,讓代碼更加的直觀,提高代碼可讀性。但是在實(shí)際使用的過程中,函數(shù)和任務(wù)也存在諸多的不同,下面將對(duì)而這進(jìn)行
    的頭像 發(fā)表于 02-12 18:43 ?746次閱讀

    verilog的135個(gè)經(jīng)典實(shí)例

    verilog的135個(gè)經(jīng)典實(shí)例
    發(fā)表于 02-02 10:17 ?14次下載

    例說Verilog HDL和VHDL區(qū)別

    Verilog和VHDL之間的區(qū)別將在本文中通過示例進(jìn)行詳細(xì)說明。對(duì)優(yōu)點(diǎn)和缺點(diǎn)的Verilog和VHDL進(jìn)行了討論。
    的頭像 發(fā)表于 12-20 09:03 ?2212次閱讀
    例說<b class='flag-5'>Verilog</b> HDL和VHDL區(qū)別

    講一講芯片設(shè)計(jì)中的verilog是什么

    相信不少人都聽過verilog這個(gè)詞,今天我就想講一講我所理解的verilog是什么。
    的頭像 發(fā)表于 12-04 13:52 ?944次閱讀

    Verilog 模塊基本結(jié)構(gòu)

    verilog極簡語法手冊(cè)
    發(fā)表于 10-23 09:28 ?0次下載