0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

三星要將借助3nm節(jié)點(diǎn)超越臺(tái)積電?明年上半年量產(chǎn)

lPCU_elecfans ? 來(lái)源:電子發(fā)燒友網(wǎng) ? 作者:梁浩斌 ? 2021-10-12 11:16 ? 次閱讀

電子發(fā)燒友網(wǎng)報(bào)道(文/梁浩斌)在10nm及以下先進(jìn)制程的競(jìng)爭(zhēng)中,臺(tái)積電與三星已經(jīng)成為了唯二的對(duì)手。在2020年5nm實(shí)現(xiàn)量產(chǎn)時(shí),同樣的Cortex-A76內(nèi)核在基于三星的5nm制程芯片上,同頻功耗要比基于臺(tái)積電5nm制程的芯片高出20%-30%,因此三星的5nm也被不少人認(rèn)為是“翻車(chē)”的一代產(chǎn)品。

當(dāng)然,如今各家晶圓代工廠對(duì)于工藝節(jié)點(diǎn)上的命名更像是玩“數(shù)字游戲”。比如三星當(dāng)年的8nm工藝就跟臺(tái)積電10nm的晶體管密度幾乎相同,而英特爾最近也將他們?cè)镜?0nm Enhanced SuperFin工藝,命名為Intel 7。從TechCenturio的數(shù)據(jù)來(lái)看,英特爾10nm的晶體管密度其實(shí)與臺(tái)積電第一代的7nm相當(dāng)。

值得一提的是,在性能上落后的同時(shí),臺(tái)積電5nm量產(chǎn)的時(shí)間還比三星提早半年。而作為追趕者,三星似乎將賭注壓在了下一個(gè)工藝節(jié)點(diǎn)。早在臺(tái)積電之前,三星就公布了大量3nm節(jié)點(diǎn)的細(xì)節(jié),并高調(diào)放出清晰的路線規(guī)劃,展示出很大的決心,要搶先在臺(tái)積電之前實(shí)現(xiàn)量產(chǎn)。

三星推遲3nm量產(chǎn)時(shí)間:一場(chǎng)與時(shí)間的賽跑

在10月7日的Samsung Foundry Forum 2021上,三星宣布推遲了3nm的量產(chǎn)計(jì)劃,從原本的今年年底量產(chǎn)推遲至明年上半年。有意思的是,臺(tái)積電今年8月也宣布推遲3nm量產(chǎn)的時(shí)間。

對(duì)于晶圓代工領(lǐng)域,制程工藝的競(jìng)爭(zhēng)是一場(chǎng)與時(shí)間的賽跑。臺(tái)積電憑借在7nm、5nm節(jié)點(diǎn)量產(chǎn)時(shí)間上的巨大領(lǐng)先優(yōu)勢(shì),獲得了蘋(píng)果、AMD等大客戶青睞。也正是憑借各個(gè)工藝節(jié)點(diǎn)上積累下來(lái)的口碑,臺(tái)積電在此前新工藝節(jié)點(diǎn)還未實(shí)現(xiàn)量產(chǎn)時(shí),就已經(jīng)獲得大量訂單,包括明年的3nm。

在2015-2016年期間,三星就曾一度奪走了臺(tái)積電不少大客戶的訂單,實(shí)現(xiàn)了收入的大幅度增長(zhǎng)。不過(guò)后來(lái)還是因?yàn)榕_(tái)積電在先進(jìn)制程上的領(lǐng)先,以及三星自家智能手機(jī)芯片的衰退而導(dǎo)致銷(xiāo)售額下滑。

三星在2018年公開(kāi)表示,目標(biāo)是先超越聯(lián)電和格芯,最終超越臺(tái)積電成為第一。實(shí)際上,TrendForce公布的2021年第一季度全球十大晶圓代工廠營(yíng)收排名中,三星已經(jīng)成功超越聯(lián)電和格芯排名第二,僅次于臺(tái)積電。

三星在自己的路線規(guī)劃中,選定了3nm作為超越臺(tái)積電的節(jié)點(diǎn)。早在2018年,三星就宣布在3nm節(jié)點(diǎn)放棄FinFET(Fin Field-Effect Transistor,鰭式場(chǎng)效應(yīng)晶體管),選擇GAA(Gate-all-around,環(huán)繞柵極)路線,三星的GAA技術(shù)又被稱為MBCFET。

三星官方的資料顯示,一般的GAAFET形式是納米線溝道,溝道的外廓被柵極完全包裹,接觸面積相比于傳統(tǒng)的FinFET更好,意味著更好的靜電特性,并且可以進(jìn)一步縮小尺寸。

不過(guò)三星認(rèn)為,納米線溝道設(shè)計(jì)過(guò)于復(fù)雜,相比性能上的提升,要付出的成本可能過(guò)于巨大。因此三星改進(jìn)了GAA形式,采用MBCFET(Multi-Bridge-Channel FET,多橋-通道場(chǎng)效應(yīng)管),將GAAFET中的納米線換成更簡(jiǎn)單的納米片堆疊。三星表示MBCFET的設(shè)計(jì)可以兼容FinFET技術(shù),在面積不變的情況下提升性能,并保留GAAFET的全部?jī)?yōu)點(diǎn)。

在7日的Foundry Forum上,三星還強(qiáng)調(diào)他們的3nm GAA制程技術(shù)相比5nm可以降低35%的芯片面積,并提高30%性能或降低50%功耗。而這個(gè)數(shù)據(jù),要比臺(tái)積電N3領(lǐng)先不小。

主要原因是,臺(tái)積電在3nm節(jié)點(diǎn)上比較保守,依然選擇了成熟的FinFET工藝來(lái)開(kāi)發(fā)N3制程。從臺(tái)積電公開(kāi)的消息來(lái)看,N3相比N5在同功耗下提供10%~15%的性能增幅,或者在同性能下降低25%~30%的能耗。

所以,明年的3nm節(jié)點(diǎn),如果兩家代工廠能按照預(yù)定計(jì)劃實(shí)現(xiàn)量產(chǎn),那么這一年很可能是三星在先進(jìn)制程技術(shù)上第一次從量產(chǎn)時(shí)間、技術(shù)先進(jìn)性上超越臺(tái)積電。當(dāng)然,這或許是臺(tái)積電一直以來(lái)的策略,畢竟在20nm節(jié)點(diǎn)上,臺(tái)積電就比競(jìng)爭(zhēng)對(duì)手更遲使用FinFET工藝。

另一方面,也可能是臺(tái)積電在GAA架構(gòu)上本身就已經(jīng)落后。對(duì)于三星和臺(tái)積電來(lái)說(shuō),如果不能在3nm節(jié)點(diǎn)的量產(chǎn)時(shí)間上取得領(lǐng)先,那么在市場(chǎng)上將會(huì)處于被動(dòng)地位。而有業(yè)內(nèi)人士表示,臺(tái)積電在GAA架構(gòu)的開(kāi)發(fā)商落后三星12至18個(gè)月。而為了N3制程盡快量產(chǎn),不得不以更穩(wěn)定可靠的FinFET工藝爭(zhēng)取趕在三星之前實(shí)現(xiàn)量產(chǎn)。

晶圓代工市場(chǎng)大變局會(huì)出現(xiàn)嗎?

根據(jù)TrendForce的數(shù)據(jù),2021第一季度晶圓代工市場(chǎng)中,臺(tái)積電依然處于絕對(duì)霸主的地位,市場(chǎng)份額高達(dá)55%,而三星雖然已經(jīng)超宇格芯和聯(lián)電排名第二,但份額只有17%。在近20年間,臺(tái)積電作為晶圓代工模式的開(kāi)創(chuàng)者,已經(jīng)積累了太多資源,似乎已經(jīng)沒(méi)有人可以撼動(dòng)臺(tái)積電的地位。

晶圓代工是一個(gè)重資產(chǎn)高投入的行業(yè),三星為了追趕臺(tái)積電,計(jì)劃在2030年前投資1160億美元,希望成為全球最大的半導(dǎo)體代工企業(yè)。而臺(tái)積電也已經(jīng)宣布未來(lái)三年內(nèi)向代工業(yè)務(wù)投資1000億美元,以維持自己的市場(chǎng)地位。

正如英特爾在10nm的技術(shù)路線上遇到的問(wèn)題,14nm一用就是5年,臺(tái)積電是否也會(huì)遇到這樣的情況?我們不得而知,但臺(tái)積電的競(jìng)爭(zhēng)對(duì)手確實(shí)已經(jīng)開(kāi)始提速。

在這次Foundry Forum上,三星還宣布計(jì)劃在2025年量產(chǎn)2nm節(jié)點(diǎn)的產(chǎn)品,并在2026年開(kāi)始開(kāi)始大量上市。另一方面,英特爾今年3月宣布重啟晶圓代工業(yè)務(wù),表示在2023年下半年量產(chǎn)基于FinFET工藝的Intel 3(類似于臺(tái)積電3nm),而英特爾2nm制程甚至比三星還要早,預(yù)計(jì)在2024年量產(chǎn)基于GAA技術(shù)的Intel 20A。

但計(jì)劃終歸是計(jì)劃,跳票才是常態(tài),未來(lái)先進(jìn)制程競(jìng)爭(zhēng)對(duì)晶圓代工市場(chǎng)的影響還得且看且行。

聲明:本文由電子發(fā)燒友原創(chuàng),轉(zhuǎn)載請(qǐng)注明以上來(lái)源。如需入群交流,請(qǐng)?zhí)砑游⑿舉lecfans999,投稿爆料采訪需求,請(qǐng)發(fā)郵箱huangjingjing@elecfans.com。

編輯:jq

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 臺(tái)積電
    +關(guān)注

    關(guān)注

    43

    文章

    5534

    瀏覽量

    165698
  • 晶圓代工
    +關(guān)注

    關(guān)注

    6

    文章

    841

    瀏覽量

    48439
  • 晶體管
    +關(guān)注

    關(guān)注

    77

    文章

    9499

    瀏覽量

    136929
  • 三星公司
    +關(guān)注

    關(guān)注

    1

    文章

    30

    瀏覽量

    29953
  • 3nm
    3nm
    +關(guān)注

    關(guān)注

    3

    文章

    227

    瀏覽量

    13883

原文標(biāo)題:?明年上半年量產(chǎn)!三星要借3nm節(jié)點(diǎn)超越臺(tái)積電?

文章出處:【微信號(hào):elecfans,微信公眾號(hào):電子發(fā)燒友網(wǎng)】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    臺(tái)3nm制程需求激增,全年?duì)I收預(yù)期上調(diào)

    臺(tái)近期迎來(lái)3nm制程技術(shù)的出貨高潮,預(yù)示著其在半導(dǎo)體制造領(lǐng)域的領(lǐng)先地位進(jìn)一步鞏固。隨著蘋(píng)果iPhone 16系列新機(jī)發(fā)布,預(yù)計(jì)搭載的A18系列處理器將采用
    的頭像 發(fā)表于 09-10 16:56 ?454次閱讀

    臺(tái)3nm工藝穩(wěn)坐釣魚(yú)臺(tái),三星因良率問(wèn)題遇冷

    近日,全球芯片代工領(lǐng)域掀起了不小的波瀾。據(jù)媒體報(bào)道,臺(tái)3nm制程的芯片代工價(jià)格上調(diào)5%之后,依然收獲了供不應(yīng)求的訂單局面。而與此同時(shí),韓國(guó)的
    的頭像 發(fā)表于 06-22 14:23 ?1041次閱讀

    臺(tái)3nm產(chǎn)能供不應(yīng)求,驍龍8 Gen44成本或增

    在半導(dǎo)體行業(yè)的最新動(dòng)態(tài)中,三星3nm GAA工藝量產(chǎn)并未如預(yù)期般成功,其首個(gè)3nm工藝節(jié)點(diǎn)SF3
    的頭像 發(fā)表于 06-15 10:32 ?628次閱讀

    臺(tái)3nm工藝產(chǎn)能緊俏,蘋(píng)果等四巨頭瓜分

    據(jù)臺(tái)灣媒體報(bào)道,近期全球芯片制造巨頭臺(tái)面臨了3nm系列工藝產(chǎn)能的激烈競(jìng)爭(zhēng)。據(jù)悉,蘋(píng)果、高通、英偉達(dá)和AMD這四大科技巨頭已經(jīng)率先瓜分完了臺(tái)
    的頭像 發(fā)表于 06-12 10:47 ?506次閱讀

    蘋(píng)果自研AI服務(wù)器芯片,預(yù)計(jì)2025年臺(tái)3nm工藝

    4 月 24 日,知名數(shù)碼博主@手機(jī)晶片達(dá)人發(fā)布動(dòng)態(tài),爆料蘋(píng)果正研發(fā)自家 AI 服務(wù)器芯片,預(yù)計(jì) 2025 年下半年量產(chǎn),采用臺(tái)
    的頭像 發(fā)表于 04-24 11:00 ?724次閱讀

    三星電子澄清:3nm芯片并非更名2nm,下半年量產(chǎn)

    李時(shí)榮聲稱,“客戶對(duì)代工企業(yè)的產(chǎn)品競(jìng)爭(zhēng)力與穩(wěn)定供應(yīng)有嚴(yán)格要求,而4nm工藝已步入成熟良率階段。我們正積極籌備后半年第二代3nm工藝及明年2nm
    的頭像 發(fā)表于 03-21 15:51 ?458次閱讀

    臺(tái)擴(kuò)增3nm產(chǎn)能,部分5nm產(chǎn)能轉(zhuǎn)向該節(jié)點(diǎn)

    目前,蘋(píng)果、高通、聯(lián)發(fā)科等世界知名廠商已與臺(tái)電能達(dá)成緊密合作,預(yù)示臺(tái)將繼續(xù)增加 5nm產(chǎn)能
    的頭像 發(fā)表于 03-19 14:09 ?467次閱讀

    臺(tái)3nm工藝預(yù)計(jì)2024年產(chǎn)量達(dá)80%

    據(jù)悉,2024年臺(tái)的第二代3nm工藝(稱為N3E)有望得到更廣泛運(yùn)用。此前只有蘋(píng)果有能力訂購(gòu)第一代N
    的頭像 發(fā)表于 01-03 14:15 ?636次閱讀

    三星力爭(zhēng)取高通3nm訂單,挑戰(zhàn)臺(tái)代工霸權(quán)?

    供應(yīng)鏈消息指出,盡管面臨三星的熱情攻勢(shì),高通依然在認(rèn)真權(quán)衡未來(lái)兩年內(nèi)是否繼續(xù)采用包括臺(tái)三星在內(nèi)的“雙重晶圓代工”策略以降低成本。然而,
    的頭像 發(fā)表于 01-02 10:25 ?549次閱讀

    臺(tái)明年上半年產(chǎn)能利用率重回80%,今年底3nm月產(chǎn)6~7萬(wàn)片

    半導(dǎo)體設(shè)備制造企業(yè)表示,臺(tái)的年美元銷(xiāo)售下降幅度預(yù)計(jì)將低于當(dāng)初預(yù)測(cè)的10%,到年末為止,全體生產(chǎn)能力利用率也將逐漸上升,到2024年上半年將恢復(fù)到80%。
    的頭像 發(fā)表于 11-20 11:43 ?440次閱讀

    三星代工獲AMD大單!

    內(nèi)情人士透露,AMD採(cǎi)用Zen 5c架構(gòu)的新一代芯片包含眾多型號(hào),其中低階芯片將由三星4nm制程代工,高階芯片則由臺(tái)
    的頭像 發(fā)表于 11-17 16:37 ?609次閱讀

    臺(tái)有望2025年量產(chǎn)2nm芯片

    、2025年量產(chǎn)。此外臺(tái)日本工廠有望2024年底開(kāi)始量產(chǎn),臺(tái)
    的頭像 發(fā)表于 10-20 12:06 ?1219次閱讀

    臺(tái)3nm月產(chǎn)能明年將增至10萬(wàn)片

    據(jù)悉,臺(tái)第一個(gè)3nm制程節(jié)點(diǎn)N3于去年下半年開(kāi)始
    的頭像 發(fā)表于 09-26 17:00 ?1038次閱讀

    高通或成為臺(tái)3nm制程的第家客戶

    蘋(píng)果已經(jīng)發(fā)布了基于臺(tái)3nm制程的A17 Pro處理器。最近,有消息稱,高通的下一代5G旗艦芯片也將采用臺(tái)
    的頭像 發(fā)表于 09-26 16:51 ?1648次閱讀

    臺(tái)3nm月產(chǎn)能明年將增至10萬(wàn)片

    臺(tái)推出了世界上第一個(gè)3nm智能手機(jī)芯片apple a17 pro,該芯片也用于新款iphone 15 pro。據(jù)悉,tsmc到2023年為止,將只批量生產(chǎn)蘋(píng)果的
    的頭像 發(fā)表于 09-25 14:25 ?836次閱讀