0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Xilinx平臺(tái)Aurora IP介紹(三)Aurora配置及接口

C29F_xilinx_inc ? 來源:賽靈思 ? 作者:賽靈思 ? 2022-02-19 18:52 ? 次閱讀

一、Aurora配置

開門見山的說,跟DDR/PCIE/GTX這種復(fù)雜的IP相比,Aurora配置那是相當(dāng)?shù)暮?jiǎn)單。走著。

1.1第一頁(yè)配置

Xilinx平臺(tái)Aurora IP介紹(三)Aurora配置及接口

物理層Physical Layer:

Lane Width : 鏈路位寬,對(duì)應(yīng)用戶數(shù)據(jù)位寬;實(shí)際用戶數(shù)據(jù)位寬= Lane Width*Lane的個(gè)數(shù)(也就是第二頁(yè)的Lanes)

Lane Rate : 鏈路數(shù)據(jù)傳輸速率,Gbps。我們測(cè)試默認(rèn)3.125G就好。

下面三個(gè)時(shí)鐘在上一篇《時(shí)鐘和復(fù)位》說過,就不再啰嗦了。

鏈路層Link Layer:

dataflow mode: 數(shù)據(jù)流模式,可選全雙工/ 只接收/ 只發(fā)送;根據(jù)實(shí)際情況選擇,為了測(cè)試,我們這里選擇全雙工。

interface:Framing/streaming可選。streaming較簡(jiǎn)單,大家可以自行去驗(yàn)證。一般使用framing接口,可能是axi4-s接口更方便連接吧。后續(xù)介紹都基于Framing接口。

flow control:流控,暫時(shí)不選擇。

back channel:sidebands/timer 可選,(只有在單工模式才能選擇);秋大佬告知這個(gè)什么意思,我沒用過,先略過。

Scrambler/Descrambler :繞碼/解繞,這里不選擇

little endian support :小端模式,勾選上就對(duì)了。小端模式在不同場(chǎng)合一般有兩層意思:①[31:0]這種書寫習(xí)慣,對(duì)應(yīng)的是[0:31];②假設(shè)你的數(shù)據(jù)是64位,鏈路寬度是32位,那么一次只能傳輸32bit,先傳輸高32bit為大端模式,先傳輸?shù)?2bit為小端模式。

1.2第二頁(yè)配置

Xilinx平臺(tái)Aurora IP介紹(三)Aurora配置及接口

第二頁(yè)配置主要是對(duì)GT的選擇。

包括:使用幾個(gè)GTX,GTX的位置等。根據(jù)實(shí)際選擇,這里做測(cè)試默認(rèn)就好。

1.3第三頁(yè)配置

Xilinx平臺(tái)Aurora IP介紹(三)Aurora配置及接口

為了學(xué)習(xí)以及使用的靈活性,我們一般選擇將共享邏輯放在example design而不是放入core。

配置部分就介紹完了,使用還是很簡(jiǎn)單的。再次感謝xilinx!

前面說過,Aurora core支持兩種接口模式:framing 和 streaming。

streaming較簡(jiǎn)單,framing 較通用。

下面我們一起來看下這兩種接口。

二、Framing接口

2.1 接口信號(hào)

Xilinx平臺(tái)Aurora IP介紹(三)Aurora配置及接口

發(fā)送端:

Xilinx平臺(tái)Aurora IP介紹(三)Aurora配置及接口

接收端:

Xilinx平臺(tái)Aurora IP介紹(三)Aurora配置及接口

Tips: 其實(shí),在理解了AXI4總線(AXI4-FULL/LITE/STREAM)后,再來看這些信號(hào)名,都不需要看文檔你就能知道它的意思了。所以,強(qiáng)烈建議把AXI4總線接口先去熟悉一遍。空了,我也將AXI4總線簡(jiǎn)單整理一下。

2.2理論介紹

傳輸數(shù)據(jù)時(shí),用戶邏輯需要操縱控制信號(hào)讓core做以下操作:

1. 當(dāng)s_axi_tx_tvalid和s_axi_tx_tready都斷言時(shí),將需要發(fā)送的數(shù)據(jù)放到數(shù)據(jù)總線s_axi_tx_tdata。簡(jiǎn)單來說就是_tvalid和_tready每握手一次,完成一個(gè)數(shù)據(jù)傳輸。

2. 把數(shù)據(jù)劃分到Aurora 8B/10B channel的各條lane。

3. 使用 s_axi_tx_tvalid 信號(hào)來傳輸數(shù)據(jù),用戶應(yīng)用程序可以將_valid信號(hào)置為無效來插入空閑字符idles。(用來暫?;蛲nD。)

4. 暫停數(shù)據(jù)(即插入空閑)(s_axi_tx_tvalid置為無效)

接收數(shù)據(jù):

1. 檢測(cè)并丟棄控制字節(jié)(空閑,時(shí)鐘補(bǔ)償,通道PDU(SCP)的開始,通道協(xié)議數(shù)據(jù)單元(ECPDU)的結(jié)束和PAD。

2. 置位成幀信號(hào)(m_axi_rx_tlast),并指定最后一個(gè)數(shù)據(jù)(m_axi_rx_tkeep)中的有效字節(jié)數(shù)。

3. 從通道中恢復(fù)數(shù)據(jù)。

4. 通過斷言m_axi_rx_tvalid信號(hào)來組裝數(shù)據(jù),以呈現(xiàn)給m_axi_rx_tdata總線上的用戶接口。

注意:

僅當(dāng)同時(shí)聲明s_axi_tx_tready和s_axi_tx_tvalid(高)時(shí),Aurora 8B / 10B內(nèi)核才對(duì)數(shù)據(jù)采樣。

AXI4-Stream數(shù)據(jù)僅在幀內(nèi)時(shí)才有效。 幀外的數(shù)據(jù)將被忽略。 要開始幀,在數(shù)據(jù)的第一個(gè)字位于s_axi_tx_tdata總線上時(shí)拉高s_axi_tx_tvalid。 要結(jié)束幀,在數(shù)據(jù)的最后一個(gè)字(或部分字)位于s_axi_tx_tdata端口上時(shí)拉高s_axi_tx_tlast,并使用s_axi_tx_tkeep指定最后一個(gè)數(shù)據(jù)拍中的有效字節(jié)數(shù)。

如果幀的長(zhǎng)度不超過一個(gè)字,則同時(shí)使s_axi_tx_tvalid和s_axi_tx_tlast有效。

Aurora 8B/10B Frames 幀結(jié)構(gòu)

Xilinx平臺(tái)Aurora IP介紹(三)Aurora配置及接口

TX子模塊通過TX接口將每個(gè)接收到的用戶幀轉(zhuǎn)換為Aurora 8B / 10B幀。 通過在幀的開頭添加一個(gè)2字節(jié)的SCP代碼組來指示幀的開始(SOF)。 幀的結(jié)尾(EOF)通過在幀的末尾添加2字節(jié)的通道結(jié)束協(xié)議(ECP)代碼組來表示。 只要沒有數(shù)據(jù),就會(huì)插入空閑代碼組。代碼組是8B / 10B編碼的字節(jié)對(duì),所有數(shù)據(jù)都作為代碼組發(fā)送,因此具有奇數(shù)字節(jié)的用戶幀在幀末尾附加了一個(gè)稱為PAD的控制字符,以填充最終的代碼組。

Length

用戶應(yīng)用程序通過操縱s_axi_tx_tvalid和s_axi_tx_tlast信號(hào)來控制通道幀長(zhǎng)度。 Aurora 8B / 10B核分別響應(yīng)幀開始和幀結(jié)束有序集/ SCP /和/ ECP /。

以上基本是對(duì)文檔的翻譯,結(jié)合實(shí)際使用來看,幀頭幀尾部分由核幫你做了。我們只需要將有效數(shù)據(jù)負(fù)載放在s_axi_tx_tdata總線上,通過s_axi_tx_tvalid和s_axi_tx_tlast握手來進(jìn)行數(shù)據(jù)傳輸就好。接收,根據(jù)m_axi_rx_tvalid標(biāo)志來接收有效數(shù)據(jù)就好。

2.3 接口時(shí)序圖

接下來,我們?cè)倏?個(gè)數(shù)據(jù)發(fā)送的例子:

CASE1 : 簡(jiǎn)單數(shù)據(jù)傳輸

Xilinx平臺(tái)Aurora IP介紹(三)Aurora配置及接口

如圖所示:在s_axi_tx_tvalid和s_axi_tx_tlast同時(shí)有效(握手)時(shí),s_axi_tx_tdata總線上的數(shù)據(jù)傳給了Aurora core,再由core發(fā)送出去;s_axi_tx_tlast標(biāo)志著最后一個(gè)數(shù)據(jù)傳輸;s_axi_tx_tkeep標(biāo)志著最后一個(gè)數(shù)據(jù)哪些字節(jié)是有效的。

CASE2 : 數(shù)據(jù)傳輸with pad(具有奇數(shù)字節(jié))

Xilinx平臺(tái)Aurora IP介紹(三)Aurora配置及接口

跟case1唯一不同的是,在最后一個(gè)數(shù)據(jù)傳輸時(shí),由于數(shù)據(jù)是奇數(shù)字節(jié),所以存在無效字節(jié),由tkeep信號(hào)來標(biāo)志有效字節(jié)。

CASE3 : 有中斷的數(shù)據(jù)傳輸

Xilinx平臺(tái)Aurora IP介紹(三)Aurora配置及接口

看圖就是了,反正記住一點(diǎn),在ready/valid握手時(shí),才發(fā)生有效數(shù)據(jù)傳輸。

如果我們想要暫停數(shù)據(jù)傳輸,那么只需要將tvalid信號(hào)置為無效,就可以插入空閑字符,其實(shí)也就達(dá)到了流控的效果。

三、Streaming接口

3.1 接口信號(hào)

Xilinx平臺(tái)Aurora IP介紹(三)Aurora配置及接口

對(duì)比framing接口是不是簡(jiǎn)單很多。

發(fā)送:

數(shù)據(jù)總線s_axi_tx_tdata , 數(shù)據(jù)有效信號(hào)s_axi_tx_tvalid;用戶邏輯只需要設(shè)計(jì)這兩個(gè)信號(hào)就好。同樣的,數(shù)據(jù)傳輸發(fā)生在s_axi_tx_tvalid和s_axi_tx_tready同時(shí)有效(握手)時(shí)。

接收:

在m_axi_rx_tvalid信號(hào)有效時(shí),接收m_axi_rx_tdata數(shù)據(jù)總線上的數(shù)據(jù)。

3.3接口時(shí)序圖

發(fā)送:

如下圖所示:數(shù)據(jù)有效傳輸發(fā)生在s_axi_tx_tvalid和s_axi_tx_tready同時(shí)有效(握手)時(shí)。

Xilinx平臺(tái)Aurora IP介紹(三)Aurora配置及接口

接收:

在m_axi_rx_tvalid信號(hào)有效時(shí),接收m_axi_rx_tdata數(shù)據(jù)總線上的數(shù)據(jù)。如果來不及使用,必須使用buffer先緩存下來,否則數(shù)據(jù)丟失。

Xilinx平臺(tái)Aurora IP介紹(三)Aurora配置及接口

streaming接口較為簡(jiǎn)單,就不再啰嗦了。后文介紹基于framing接口。

OK,IP配置完了,下一篇我們介紹example design!

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 接口
    +關(guān)注

    關(guān)注

    33

    文章

    8254

    瀏覽量

    149948
  • Xilinx
    +關(guān)注

    關(guān)注

    70

    文章

    2136

    瀏覽量

    120384
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    交換機(jī)怎么配置IP地址

      在構(gòu)建和管理網(wǎng)絡(luò)架構(gòu)中,交換機(jī)作為核心設(shè)備之一,其IP地址的配置是確保網(wǎng)絡(luò)正常運(yùn)行的重要步驟。正確配置交換機(jī)的IP地址,可以使得網(wǎng)絡(luò)設(shè)備之間能夠正常通信,并實(shí)現(xiàn)對(duì)網(wǎng)絡(luò)的有效管理。本
    的頭像 發(fā)表于 06-06 11:16 ?6067次閱讀

    ubuntu固定ip怎么配置?

    在Ubuntu系統(tǒng)中配置固定IP地址通常涉及編輯網(wǎng)絡(luò)配置文件。以下是配置固定IP地址的步驟: 1、打開終端:可以通過快捷鍵Ctrl + Al
    的頭像 發(fā)表于 06-03 17:26 ?2334次閱讀

    Zebra Aurora深度學(xué)習(xí)OCR算法榮獲CAIMRS頒發(fā)的自動(dòng)化創(chuàng)新獎(jiǎng)

    在第二十二屆中國(guó)自動(dòng)化及數(shù)字化年度評(píng)選活動(dòng)中,Zebra Aurora深度學(xué)習(xí)OCR算法獲得了由中國(guó)自動(dòng)化及數(shù)字化產(chǎn)業(yè)年會(huì)(簡(jiǎn)稱CAIMRS)頒發(fā)的自動(dòng)化創(chuàng)新獎(jiǎng)。
    的頭像 發(fā)表于 03-20 16:35 ?349次閱讀

    Xilinx FPGA NVMe主機(jī)控制器IP,高性能版本介紹應(yīng)用

    高效的接口實(shí)現(xiàn)高性能存儲(chǔ)解決方案。NVMe Host Controller IP DMA讀寫的順序傳輸長(zhǎng)度可以配置,最小是4K-Byte,最大是512K-Byte。順序傳輸長(zhǎng)度配置為4
    發(fā)表于 03-09 13:56

    映泰發(fā)布A620MH Aurora主板,支持DDR5內(nèi)存,配備32條PCIe通道

    而作為一款給力的主板,映泰A620MH Aurora為M-ATX板型設(shè)計(jì),具備雙DDR5內(nèi)存插槽;同時(shí)搭配了瑞昱RTL8111H千兆網(wǎng)卡以及ALC897音頻芯片。
    的頭像 發(fā)表于 03-01 13:51 ?702次閱讀

    英飛凌攜手Aurora Labs為汽車行業(yè)提供優(yōu)化的預(yù)測(cè)性維護(hù)解決方案

    本文轉(zhuǎn)載自:英飛凌官微 英飛凌科技與極光實(shí)驗(yàn)室(Aurora Labs,以下同)在CES 2024上發(fā)布了一套全新的人工智能(AI)解決方案,可提高轉(zhuǎn)向、制動(dòng)、安全氣囊等關(guān)鍵汽車部件的長(zhǎng)期可靠性
    的頭像 發(fā)表于 01-14 20:06 ?232次閱讀
    英飛凌攜手<b class='flag-5'>Aurora</b> Labs為汽車行業(yè)提供優(yōu)化的預(yù)測(cè)性維護(hù)解決方案

    英飛凌攜手Aurora Labs為汽車行業(yè)提供優(yōu)化的預(yù)測(cè)性維護(hù)解決方案, 提升駕駛安全至全新水平

    【2024年1月11日,德國(guó)慕尼黑和美國(guó)拉斯維加斯訊】英飛凌科技股份公司(FSE代碼:IFX / OTCQX代碼:IFNNY)與極光實(shí)驗(yàn)室(Aurora Labs,以下同)在CES 2024上發(fā)布了
    發(fā)表于 01-11 15:24 ?379次閱讀
    英飛凌攜手<b class='flag-5'>Aurora</b> Labs為汽車行業(yè)提供優(yōu)化的預(yù)測(cè)性維護(hù)解決方案, 提升駕駛安全至全新水平

    ifconfig配置靜態(tài)ip命令

    ifconfig命令用于配置和管理網(wǎng)絡(luò)接口,包括接口IP地址、子網(wǎng)掩碼、網(wǎng)關(guān)、以及其他網(wǎng)絡(luò)配置參數(shù)。在本篇文章中,我們將詳細(xì)
    的頭像 發(fā)表于 11-27 14:31 ?3830次閱讀

    XILINX FPGA IP之AXI Traffic Generator

    AXI Traffic Generator IP 用于在AXI4和AXI4-Stream互連以及其他AXI4系統(tǒng)外設(shè)上生成特定序列(流量)。它根據(jù)IP的編程和選擇的操作模式生成各種類型的AXI事務(wù)。是一個(gè)比較好用的AXI4協(xié)議測(cè)試源或者AXI外設(shè)的初始化
    的頭像 發(fā)表于 11-23 16:03 ?1863次閱讀
    <b class='flag-5'>XILINX</b> FPGA <b class='flag-5'>IP</b>之AXI Traffic Generator

    centos7配置ip地址的方法

    分隔的數(shù)字組成。每個(gè)數(shù)字的取值范圍是0到255。在本文中,我們將詳細(xì)討論如何在CentOS 7上配置靜態(tài)和動(dòng)態(tài)IP地址。 以下是配置IP地址的步驟: 確認(rèn)網(wǎng)卡名稱 在CentOS 7中
    的頭像 發(fā)表于 11-17 11:04 ?2373次閱讀

    linux配置網(wǎng)卡的ip及相關(guān)網(wǎng)絡(luò)參數(shù)

    在Linux系統(tǒng)中,配置網(wǎng)絡(luò)參數(shù)包括配置網(wǎng)卡的IP地址、子網(wǎng)掩碼、網(wǎng)關(guān)、DNS等。本文將以詳盡、詳實(shí)、細(xì)致的方式介紹Linux系統(tǒng)中配置網(wǎng)卡
    的頭像 發(fā)表于 11-17 10:55 ?1759次閱讀

    linux修改網(wǎng)卡ip配置文件

    、子網(wǎng)掩碼、網(wǎng)關(guān)等參數(shù)。本文將詳細(xì)介紹如何修改Linux的網(wǎng)卡ip配置文件。 首先,在Linux中,網(wǎng)絡(luò)接口配置文件一般位于/etc/sy
    的頭像 發(fā)表于 11-17 10:51 ?1883次閱讀

    俄羅斯操作系統(tǒng)Aurora OS 5.0發(fā)布

    Aurora OS 包含許多改進(jìn),提升了辦公人員在日常場(chǎng)景使用設(shè)備的易用性。最顯著的變化是采用全新 UI 和手勢(shì)控制 —— 據(jù)稱根據(jù)第四代 Aurora OS 的兩年工業(yè)使用經(jīng)驗(yàn)和用戶研究進(jìn)行了重新設(shè)計(jì)。
    的頭像 發(fā)表于 11-16 11:12 ?762次閱讀
    俄羅斯操作系統(tǒng)<b class='flag-5'>Aurora</b> OS 5.0發(fā)布

    IP地址配置沖突導(dǎo)致路由振蕩怎么辦?

    到嚴(yán)重干擾,甚至導(dǎo)致路由器振蕩。本文將詳細(xì)介紹IP地址配置沖突導(dǎo)致的路由振蕩及其解決方法,以幫助網(wǎng)絡(luò)管理員迅速解決這一問題。 一、理解IP地址沖突和路由振蕩的原因 1.
    的頭像 發(fā)表于 11-09 09:24 ?679次閱讀

    基于FPGA的Aurora 8b10b光通信測(cè)試方案

    本文開源一個(gè)FPGA高速串行通信項(xiàng)目:Aurora 8b10b光通信。7 Series FPGAs Transceivers Wizard IPXilinx官方7系列FPGA的高速串行收發(fā)器,本工程主要是圍繞該
    的頭像 發(fā)表于 10-01 09:48 ?6006次閱讀
    基于FPGA的<b class='flag-5'>Aurora</b> 8b10b光通信測(cè)試方案