0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Xilinx平臺Aurora IP介紹(一)Aurora基礎(chǔ)知識

C29F_xilinx_inc ? 來源:賽靈思 ? 作者:賽靈思 ? 2022-02-19 18:21 ? 次閱讀

一、Aurora協(xié)議

Aurora 是一個用于在點(diǎn)對點(diǎn)串行鏈路間移動數(shù)據(jù)的可擴(kuò)展輕量級鏈路層協(xié)議。這為物理層提供透明接口,讓專有協(xié)議或業(yè)界標(biāo)準(zhǔn)協(xié)議上層能方便地使用高速收發(fā)器。雖然使用的邏輯資源非常少,但 Aurora 能提供低延遲高帶寬和高度可配置的特性集。在 Xilinx FPGA 上使用是免費(fèi)的,而且在 ASIC 上能以名義成本通過單獨(dú)的許可證協(xié)議得到支持。

主要特性:

1. 高帶寬,僅受限于收發(fā)器的數(shù)據(jù)速率

2. 支持大量鍵合線路,實(shí)現(xiàn)較高的總帶寬

3. 支持全雙工和單工通道

4. 無限幀尺寸/靈活組幀

5. 小型邏輯封裝,采用標(biāo)準(zhǔn)的 AXI-ST 接口。

6. 內(nèi)置流程控制和熱插拔支持

Aurora 廣泛用于需要背板、電路板間和芯片間連接的應(yīng)用。細(xì)分市場包括有線通信、存儲、服務(wù)器、測試測量、工業(yè)、消費(fèi)和醫(yī)療等。此外,Aurora 也可用作嵌入式處理器應(yīng)用中的調(diào)試端口。

二、Xilinx平臺Aurora IP介紹

Xilinx提供了兩個Aurora核,分別是:Aurora 8B/10B 以及Aurora 64B/66B。

顧名思義,主要是8B/10B ,64B/66B的區(qū)別;8B/10B編碼可以平衡DC,有足夠的跳變來恢復(fù)時(shí)鐘,但是有20%的帶寬開銷。64B/66B編碼的前兩位表示同步頭,減小的開銷,但是卻不能保證0/1數(shù)量的平衡,因此需要進(jìn)行加繞。

上面簡單介紹了8B/10B, 64B/66B;但是對于我們使用IP核來說,步驟其實(shí)是一樣的。這里以Aurora 8B/10B進(jìn)行介紹。

三、Aurora IP組成結(jié)構(gòu)

3.1總覽

Xilinx平臺Aurora IP介紹(一)Aurora基礎(chǔ)知識

看圖說話,我們簡單分析一下:

兩個Aurora核,建立一個Channel,這兩個核就稱為Aurora Channel Partners。但是,這個Channel又可以是多條lane,每條lane對應(yīng)一個高速收發(fā)器GT。8B/10B編碼后的數(shù)據(jù)就是通過GT傳輸。所以,我們可以認(rèn)識到,高速接口物理層都是基于GT。關(guān)于GT,可以參考:https://blog.csdn.net/m0_52840978/article/details/121455025?spm=1001.201... FPGA平臺GTX簡易使用教程(匯總篇)

那么,數(shù)據(jù)發(fā)送的過程呢?首先,用戶數(shù)據(jù)經(jīng)過用戶接口傳給Aurora核,Aurora核通過Aurora Channel(可能多條lane)將編碼后的串行數(shù)據(jù)發(fā)送到另一端的Aurora核進(jìn)行接收,解碼,串并轉(zhuǎn)換,最后將用戶數(shù)據(jù)給到用戶應(yīng)用。

3.2框圖

我們繼續(xù)來看Aurora 8B/10B的框圖:

Xilinx平臺Aurora IP介紹(一)Aurora基礎(chǔ)知識

Aurora 8B/10B核的主要功能模塊包括:

1. Lane Logic: 每條lane都包含一個GT,Lane邏輯模塊實(shí)體驅(qū)動每個GT,并初始化每個GT收發(fā)器,處理編解碼及錯誤檢測等。

2. Global Logic: 全局邏輯模塊執(zhí)行通道初始化的綁定和驗(yàn)證階段。 在運(yùn)行過程中,模塊生成Aurora協(xié)議所需的隨機(jī)空閑字符,并監(jiān)控所有l(wèi)ane邏輯模塊的錯誤。

3. RX User Interface: 接收端用戶接口使用AXI4-S接口將數(shù)據(jù)從channel傳到用戶應(yīng)用并可進(jìn)行接收流控功能。

4. TX User Interface: 發(fā)送端用戶接口使用AXI4-S接口將數(shù)據(jù)從用戶應(yīng)用傳到channel并進(jìn)行發(fā)送流控功能。標(biāo)準(zhǔn)時(shí)鐘補(bǔ)償模塊嵌入在核中。這個模塊控制時(shí)鐘補(bǔ)償(CC)字符的周期性傳輸。

3.3頂層結(jié)構(gòu)

Aurora 8B/10B核的頂層文件實(shí)例化了lane logic模塊TX和RX 的AXI4-Stream模塊、全局邏輯模塊和收發(fā)器的封裝模塊在Example Design中也實(shí)例化了時(shí)鐘和復(fù)位電路、幀生成模塊和檢查模塊。

下圖是一個全雙工配置的Aurora 8B/10B:

Xilinx平臺Aurora IP介紹(一)Aurora基礎(chǔ)知識

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 收發(fā)器
    +關(guān)注

    關(guān)注

    10

    文章

    3337

    瀏覽量

    105535
  • Xilinx
    +關(guān)注

    關(guān)注

    70

    文章

    2136

    瀏覽量

    120384
  • 測量
    +關(guān)注

    關(guān)注

    10

    文章

    4610

    瀏覽量

    110569
收藏 人收藏

    評論

    相關(guān)推薦

    Zebra Aurora深度學(xué)習(xí)OCR算法榮獲CAIMRS頒發(fā)的自動化創(chuàng)新獎

    在第二十二屆中國自動化及數(shù)字化年度評選活動中,Zebra Aurora深度學(xué)習(xí)OCR算法獲得了由中國自動化及數(shù)字化產(chǎn)業(yè)年會(簡稱CAIMRS)頒發(fā)的自動化創(chuàng)新獎。
    的頭像 發(fā)表于 03-20 16:35 ?349次閱讀

    電子元件基礎(chǔ)知識介紹

    電子發(fā)燒友網(wǎng)站提供《電子元件基礎(chǔ)知識介紹.pptx》資料免費(fèi)下載
    發(fā)表于 03-15 16:48 ?83次下載

    映泰發(fā)布A620MH Aurora主板,支持DDR5內(nèi)存,配備32條PCIe通道

    而作為款給力的主板,映泰A620MH Aurora為M-ATX板型設(shè)計(jì),具備雙DDR5內(nèi)存插槽;同時(shí)搭配了瑞昱RTL8111H千兆網(wǎng)卡以及ALC897音頻芯片。
    的頭像 發(fā)表于 03-01 13:51 ?702次閱讀

    FPGA基礎(chǔ)知識介紹

    電子發(fā)燒友網(wǎng)站提供《FPGA基礎(chǔ)知識介紹.pdf》資料免費(fèi)下載
    發(fā)表于 02-23 09:45 ?24次下載

    射頻與微波基礎(chǔ)知識

    射頻與微波基礎(chǔ)知識
    的頭像 發(fā)表于 01-16 10:05 ?674次閱讀
    射頻與微波<b class='flag-5'>基礎(chǔ)知識</b>

    英飛凌攜手Aurora Labs為汽車行業(yè)提供優(yōu)化的預(yù)測性維護(hù)解決方案

    本文轉(zhuǎn)載自:英飛凌官微 英飛凌科技與極光實(shí)驗(yàn)室(Aurora Labs,以下同)在CES 2024上發(fā)布了套全新的人工智能(AI)解決方案,可提高轉(zhuǎn)向、制動、安全氣囊等關(guān)鍵汽車部件的長期可靠性
    的頭像 發(fā)表于 01-14 20:06 ?232次閱讀
    英飛凌攜手<b class='flag-5'>Aurora</b> Labs為汽車行業(yè)提供優(yōu)化的預(yù)測性維護(hù)解決方案

    英飛凌攜手Aurora Labs為汽車行業(yè)提供優(yōu)化的預(yù)測性維護(hù)解決方案, 提升駕駛安全至全新水平

    套全新的人工智能(AI)解決方案,可提高轉(zhuǎn)向、制動、安全氣囊等關(guān)鍵汽車部件的長期可靠性與安全性。兩家公司聯(lián)手打造的解決方案將Aurora Labs屢獲殊榮的Line-of-Code
    發(fā)表于 01-11 15:24 ?379次閱讀
    英飛凌攜手<b class='flag-5'>Aurora</b> Labs為汽車行業(yè)提供優(yōu)化的預(yù)測性維護(hù)解決方案, 提升駕駛安全至全新水平

    Access數(shù)據(jù)庫基礎(chǔ)知識介紹

    電子發(fā)燒友網(wǎng)站提供《Access數(shù)據(jù)庫基礎(chǔ)知識介紹.pdf》資料免費(fèi)下載
    發(fā)表于 01-02 10:24 ?2次下載

    電氣技術(shù)基礎(chǔ)知識

    電氣技術(shù)基礎(chǔ)知識
    的頭像 發(fā)表于 12-14 09:11 ?1344次閱讀
    電氣技術(shù)<b class='flag-5'>基礎(chǔ)知識</b>

    電子元器件的基礎(chǔ)知識

    電子元器件的基礎(chǔ)知識
    的頭像 發(fā)表于 12-04 10:42 ?4551次閱讀
    電子元器件的<b class='flag-5'>基礎(chǔ)知識</b>

    俄羅斯操作系統(tǒng)Aurora OS 5.0發(fā)布

    Aurora OS 包含許多改進(jìn),提升了辦公人員在日常場景使用設(shè)備的易用性。最顯著的變化是采用全新 UI 和手勢控制 —— 據(jù)稱根據(jù)第四代 Aurora OS 的兩年工業(yè)使用經(jīng)驗(yàn)和用戶研究進(jìn)行了重新設(shè)計(jì)。
    的頭像 發(fā)表于 11-16 11:12 ?762次閱讀
    俄羅斯操作系統(tǒng)<b class='flag-5'>Aurora</b> OS 5.0發(fā)布

    SPI協(xié)議基礎(chǔ)知識

    電子發(fā)燒友網(wǎng)站提供《SPI協(xié)議基礎(chǔ)知識.pdf》資料免費(fèi)下載
    發(fā)表于 11-16 10:32 ?1次下載
    SPI協(xié)議<b class='flag-5'>基礎(chǔ)知識</b>

    電池的基礎(chǔ)知識

    電子發(fā)燒友網(wǎng)站提供《電池的基礎(chǔ)知識.doc》資料免費(fèi)下載
    發(fā)表于 11-15 11:29 ?1次下載
    電池的<b class='flag-5'>基礎(chǔ)知識</b>

    TTL與BJT的基礎(chǔ)知識

    教科書上面在講述TTL工作原理時(shí)候,運(yùn)用到了BJT雙極性三極管的基礎(chǔ)知識,比如:倒置,鉗位,深飽和,飽和壓降。這些基礎(chǔ)知識在教材上并沒有特別明晰的說明。這里對此做了些自己的思考和整理,歡迎指正。
    的頭像 發(fā)表于 10-21 11:01 ?3151次閱讀
    TTL與BJT的<b class='flag-5'>基礎(chǔ)知識</b>

    基于FPGA的Aurora 8b10b光通信測試方案

    本文開源個FPGA高速串行通信項(xiàng)目:Aurora 8b10b光通信。7 Series FPGAs Transceivers Wizard IPXilinx官方7系列FPGA的高速串
    的頭像 發(fā)表于 10-01 09:48 ?6006次閱讀
    基于FPGA的<b class='flag-5'>Aurora</b> 8b10b光通信測試方案