0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Vivado 設(shè)計(jì)輸入紀(jì)事—RTL 設(shè)計(jì)輸入

C29F_xilinx_inc ? 來(lái)源:賽靈思 ? 作者:賽靈思 ? 2022-02-16 16:21 ? 次閱讀

注:本文轉(zhuǎn)自賽靈思中文社區(qū)論壇,源文鏈接在此。本文原作者為XILINX工程師

以下為個(gè)人譯文,僅供參考,如有疏漏之處,還請(qǐng)不吝賜教。

本篇博文是面向希望學(xué)習(xí)使用 Vivado 進(jìn)行 FPGA 設(shè)計(jì)輸入的新手的系列博文第一講。

這些實(shí)踐旨在為用戶提供快速入門(mén)指導(dǎo),幫助其簡(jiǎn)要了解工具流程原理。我們選擇了一項(xiàng)非常簡(jiǎn)單的設(shè)計(jì),便于讀者理解流程中的不同步驟。

這些實(shí)踐將按如下順序展示:RTL 流程、基于 IP 的流程、基于 HLS 的流程、基于 IP integrator 的流程,最后是混用前述流程創(chuàng)建設(shè)計(jì)。

第一項(xiàng)實(shí)踐是 RTL 流程。 每個(gè)步驟都包含截屏,以便用戶自行嘗試時(shí)參考。

步驟如下:

1.調(diào)用 Vivado。

poYBAGIMpuSAGSPYAADTnxfgDPo794.png

2.用戶提供自己選擇的工程名稱(該工具使用的默認(rèn)工程名稱為 project_1),并提供工程創(chuàng)建路徑,然后單擊“下一步 (Next)”。

poYBAGIMpuSAGSPYAADTnxfgDPo794.png

3.默認(rèn)情況下,該工具會(huì)選中“選擇 RTL 工程 (Select RTL Project)”,然后單擊“下一步 (Next)”。

poYBAGIMpuSAGSPYAADTnxfgDPo794.png

4. 該工具會(huì)提示您選擇“Add Sources”以添加源文件。選擇“添加文件 (Add Files)”,然后提供此處隨附的 RTL 文件 (top.v)。

單擊“下一步 (Next)”。

poYBAGIMpuiATdUtAACa5Gb2xmY151.png

以下提供了 RTL 的詳細(xì)信息

pYYBAGIMpuqAS1aHAADhF-IAkIo838.png

RTL 用于描述自由運(yùn)行的簡(jiǎn)單 32 位計(jì)數(shù)器。當(dāng)該計(jì)數(shù)器達(dá)到其最大值時(shí),就會(huì)翻轉(zhuǎn)觸發(fā)器。此觸發(fā)器連接到輸出。

這樣會(huì)使用另一個(gè)緩沖器 (IBUFDS) 來(lái)創(chuàng)建時(shí)鐘差分對(duì),其輸出將在設(shè)計(jì)中使用。在下一節(jié)中,我們將講解為何使用此緩沖器。

5. 您將看到“添加約束 (Add Constraints)”對(duì)話框,其中包含“添加 (Add Files)”選項(xiàng)。

選擇“Add Files”,提供此處隨附的 top.xdc,然后單擊“Next”。

pYYBAGIMpuuAQJhiAACDA0JtVMw359.png

6.單擊開(kāi)發(fā)板選項(xiàng)卡,搜索 zcu102,然后選擇此處顯示的開(kāi)發(fā)板(Zynq UltraScale+ ZCU102 評(píng)估板)。

單擊“下一步 (Next)”。

poYBAGIMpu2AVS7pAAEFxM43emY110.png

7.在以下窗口中,單擊“完成 (Finish)”。

8.在左側(cè),您將看到“生成比特流 (Generate Bitstream)”按鈕。

單擊此按鈕。

pYYBAGIMpu-AQ1SbAABD3JCQ8NI025.png

9.這樣將顯示如下提示:

poYBAGIMpvCACPaQAADukalIHsI021.png

單擊“是 (Yes)”。這樣將彈出另一條提示,要求您啟動(dòng)運(yùn)行。單擊“OK”。

這樣就會(huì)觸發(fā)綜合 (Synthesis),然后實(shí)現(xiàn) (Implementation),并且將生成比特流。

實(shí)踐至此完成。

XDC 文件內(nèi)容:

XDC 文件包含:

create_clock -name clk_p -period 8 [get_ports clk_p]
set_property LOC G21 [get_ports clk_p]
set_property LOC AG14 [get_ports dout]

set_property IOSTANDARD LVCMOS18 [get_ports dout]
set_property IOSTANDARD DIFF_HSTL_I_18 [get_ports clk_p]

使用 IBUFDS 的原因:

使用 IBUFDS 的原因是因?yàn)槲覀冞x擇的開(kāi)發(fā)板需要差分時(shí)鐘。

每塊開(kāi)發(fā)板都有其自己特定的管腳 LOC 及其支持的系統(tǒng)時(shí)鐘頻率。

此開(kāi)發(fā)板支持 300Mhz 和 125Mhz,因此我們使用 125Mhz 和與之對(duì)應(yīng)的管腳 LOC。輸出連接至 LED,其 LOC 為 AG14。最后 2 條語(yǔ)句用于指定端口的 IOSTANDARD。

審核編輯:符乾江

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • RTL
    RTL
    +關(guān)注

    關(guān)注

    1

    文章

    384

    瀏覽量

    59534
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65893
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    如何從模擬輸入設(shè)備切換到數(shù)字輸入設(shè)備

    電子發(fā)燒友網(wǎng)站提供《如何從模擬輸入設(shè)備切換到數(shù)字輸入設(shè)備.pdf》資料免費(fèi)下載
    發(fā)表于 09-26 10:41 ?0次下載
    如何從模擬<b class='flag-5'>輸入</b>設(shè)備切換到數(shù)字<b class='flag-5'>輸入</b>設(shè)備

    SinaPos的輸入接口

    輸入接口包括 19 個(gè)不同數(shù)據(jù)格式的輸入。 功能塊的初始配置期間,這些輸入均設(shè)置有初始值。輸入接口一覽如下:
    發(fā)表于 09-02 14:41 ?0次下載

    差分放大電路同相輸入和反相輸入怎么看

    差分放大電路是一種具有高輸入阻抗、高增益和高抗干擾能力的放大電路,廣泛應(yīng)用于模擬信號(hào)處理領(lǐng)域。差分放大電路的輸入端有兩個(gè),分別是同相輸入端和反相輸入端。 同相
    的頭像 發(fā)表于 08-05 09:24 ?677次閱讀

    如何判斷同相輸入端和反相輸入

    和兩個(gè)輸入端口,即同相輸入端(Non-inverting Input)和反相輸入端(Inverting Input)。正確區(qū)分和判斷這兩個(gè)輸入端對(duì)于電路的設(shè)計(jì)、調(diào)試和性能優(yōu)化都至關(guān)重要
    的頭像 發(fā)表于 07-31 10:54 ?462次閱讀

    單端輸入和雙端輸入的區(qū)別是什么

    單端輸入和雙端輸入是電子電路設(shè)計(jì)中的兩種不同的輸入方式。它們?cè)陔娐吩O(shè)計(jì)、性能和應(yīng)用方面存在一些關(guān)鍵的區(qū)別 單端輸入 單端輸入是一種常見(jiàn)的
    的頭像 發(fā)表于 07-31 10:50 ?923次閱讀

    詳解Vivado非工程模式的精細(xì)設(shè)計(jì)過(guò)程

    將設(shè)置設(shè)計(jì)的輸出路徑,設(shè)置設(shè)計(jì)輸出路徑的步驟如下所示。 第一步:如圖4.3所示,在“Vivado%”提示符后輸入命令“set outputDir ./gate_Created_Data/top_output”。
    發(fā)表于 04-03 09:34 ?1054次閱讀
    詳解<b class='flag-5'>Vivado</b>非工程模式的精細(xì)設(shè)計(jì)過(guò)程

    如何禁止vivado自動(dòng)生成 bufg

    Vivado中禁止自動(dòng)生成BUFG(Buffered Clock Gate)可以通過(guò)以下步驟實(shí)現(xiàn)。 首先,讓我們簡(jiǎn)要了解一下什么是BUFG。BUFG是一個(gè)時(shí)鐘緩沖器,用于緩沖輸入時(shí)鐘信號(hào),使其更穩(wěn)
    的頭像 發(fā)表于 01-05 14:31 ?1521次閱讀

    高阻輸入和低阻輸入的阻抗分別是多少

    高阻輸入和低阻輸入是指在電子電路中輸入端的兩種不同電阻特性。高阻輸入指的是輸入端的電阻較大,而低阻輸入
    的頭像 發(fā)表于 12-25 15:32 ?2826次閱讀

    plc輸入輸出的運(yùn)行原理

    plc輸入端24v. 說(shuō)明plc輸入是PNP輸入,要知道怎么接線必須知道plc輸入輸出的運(yùn)行原理。
    發(fā)表于 12-17 09:27 ?1100次閱讀
    plc<b class='flag-5'>輸入</b>輸出的運(yùn)行原理

    qlineedit限制輸入內(nèi)容

    QLineEdit是一個(gè)用于單行文本輸入的小部件,它提供了很多方法來(lái)限制和驗(yàn)證用戶輸入的內(nèi)容。下面是一些常見(jiàn)的限制輸入內(nèi)容的方法: 設(shè)置最大長(zhǎng)度:使用QLineEdit的setMaxLentgh方法
    的頭像 發(fā)表于 11-30 16:09 ?2688次閱讀

    java怎么限制輸入數(shù)字的范圍

    在Java中,你可以使用循環(huán)和條件語(yǔ)句來(lái)限制輸入數(shù)字的范圍。下面我將詳細(xì)介紹如何實(shí)現(xiàn)這一功能。 首先,你需要使用Java的輸入輸出庫(kù)來(lái)接收用戶的輸入。Java中提供了Scanner類來(lái)讀取控制臺(tái)
    的頭像 發(fā)表于 11-30 16:07 ?1174次閱讀

    數(shù)字輸入與輸出原理是什么

    數(shù)字輸入與輸出是最常用的一種外設(shè)通信方式,雖然每一個(gè)端口只有0和1兩種狀態(tài),但卻可以組合出各種各樣的變化。 數(shù)字輸入與輸出原理 數(shù)字輸入與輸出,也稱為通用輸入輸出,全稱
    的頭像 發(fā)表于 11-27 11:45 ?734次閱讀
    數(shù)字<b class='flag-5'>輸入</b>與輸出原理是什么

    什么是輸入失調(diào)電壓?輸入失調(diào)電壓如何折算?

    什么是輸入失調(diào)電壓?輸入失調(diào)電壓如何折算? 輸入失調(diào)電壓是指運(yùn)放的非反向輸入端和反向輸入端接收到的電壓差。在運(yùn)放的正常運(yùn)行情況下,非反向
    的頭像 發(fā)表于 10-30 09:12 ?1942次閱讀

    運(yùn)放的同相輸入端和反相輸入端之間的電阻怎樣設(shè)置?

    運(yùn)放的同相輸入端和反相輸入端之間的電阻怎樣設(shè)置?需要遵守什么原則?以及反饋部分的電阻? 運(yùn)放的同相輸入端和反相輸入端之間的電阻設(shè)置是一個(gè)非常重要的問(wèn)題,它關(guān)系到運(yùn)放的工作性能和精度。一
    的頭像 發(fā)表于 10-26 17:30 ?3805次閱讀

    ECU的數(shù)字輸入測(cè)試

    ECU典型的數(shù)字輸入包括一個(gè)上拉或下拉電阻,然后通過(guò)一個(gè)RC濾波電路輸入到MCU的PORT口。
    的頭像 發(fā)表于 10-19 10:51 ?555次閱讀
    ECU的數(shù)字<b class='flag-5'>輸入</b>測(cè)試