0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何在STM32中要實(shí)現(xiàn)數(shù)據(jù)通訊

嵌入式應(yīng)用開(kāi)發(fā) ? 來(lái)源:嵌入式應(yīng)用開(kāi)發(fā) ? 作者:嵌入式應(yīng)用開(kāi)發(fā) ? 2022-03-02 08:56 ? 次閱讀

stm32中要實(shí)現(xiàn)數(shù)據(jù)通訊,首先要設(shè)置相關(guān)的寄存器,這里不做相關(guān)的介紹,直接說(shuō)代碼相關(guān)的能內(nèi)容及相關(guān)函數(shù)對(duì)應(yīng)的用法。

直接上代碼。

1.串口通訊代碼

usart.h

#ifndef __USART_H
#define __USART_H
#include "stdio.h"	
#include "sys.h" 

#define USART_REC_LEN  			200  	//定義最大接收字節(jié)數(shù) 200
#define EN_USART3_RX 			1		//使能(1)/禁止(0)串口1接收
	  	
extern u8  USART_RX_BUF[USART_REC_LEN]; //接收緩沖,最大USART_REC_LEN個(gè)字節(jié).末字節(jié)為換行符 
extern u16 USART_RX_STA;         		//接收狀態(tài)標(biāo)記	
//如果想串口中斷接收,請(qǐng)不要注釋以下宏定義
void uart_init(u32 bound);
#endif

對(duì)應(yīng)的usart.c代碼

#include "sys.h"
#include "usart.h"	  


//加入以下代碼,支持printf函數(shù),而不需要選擇use MicroLIB	  
#if 1
#pragma import(__use_no_semihosting)             
//標(biāo)準(zhǔn)庫(kù)需要的支持函數(shù)                 
struct __FILE 
{ 
	int handle; 

}; 

FILE __stdout;       
//定義_sys_exit()以避免使用半主機(jī)模式    
void _sys_exit(int x) 
{ 
	x = x; 
} 
//重定義fputc函數(shù) 
int fputc(int ch, FILE *f)
{      
	while((USART3->SR&0X40)==0);//循環(huán)發(fā)送,直到發(fā)送完畢   
    USART3->DR = (u8) ch;      
	return ch;
}
#endif 

/*使用microLib的方法*/
 /* 
int fputc(int ch, FILE *f)
{
	USART_SendData(USART1, (uint8_t) ch);

	while (USART_GetFlagStatus(USART1, USART_FLAG_TC) == RESET) {}	
   
    return ch;
}
int GetKey (void)  { 

    while (!(USART1->SR & USART_FLAG_RXNE));

    return ((int)(USART1->DR & 0x1FF));
}
*/
 
#if EN_USART3_RX   //如果使能了接收
//串口1中斷服務(wù)程序
//注意,讀取USARTx->SR能避免莫名其妙的錯(cuò)誤   	
u8 USART_RX_BUF[USART_REC_LEN];     //接收緩沖,最大USART_REC_LEN個(gè)字節(jié).
//接收狀態(tài)
//bit15,	接收完成標(biāo)志
//bit14,	接收到0x0d
//bit13~0,	接收到的有效字節(jié)數(shù)目
u16 USART_RX_STA=0;       //接收狀態(tài)標(biāo)記	  
  
void uart_init(u32 bound)
{
	//GPIO端口設(shè)置
	GPIO_InitTypeDef GPIO_InitStructure;
	USART_InitTypeDef USART_InitStructure;
	NVIC_InitTypeDef NVIC_InitStructure;

	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);	
	RCC_APB1PeriphClockCmd(RCC_APB1Periph_USART3,ENABLE);//使能USART1,GPIOA時(shí)鐘
	
	//USART3_TX   GPIOB.10
	GPIO_InitStructure.GPIO_Pin = GPIO_Pin_10; //PB.10
	GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;	//復(fù)用推挽輸出
	GPIO_Init(GPIOB, &GPIO_InitStructure);//初始化GPIOB.10

	//USART3_RX	  GPIOB.11初始化
	GPIO_InitStructure.GPIO_Pin = GPIO_Pin_11;//PA10
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;//浮空輸入
	GPIO_Init(GPIOB, &GPIO_InitStructure);//初始化GPIOB.11  

	//Usart3 NVIC 配置
	NVIC_InitStructure.NVIC_IRQChannel = USART3_IRQn;
	NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority=3 ;//搶占優(yōu)先級(jí)3
	NVIC_InitStructure.NVIC_IRQChannelSubPriority = 3;		//子優(yōu)先級(jí)3
	NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;			//IRQ通道使能
	NVIC_Init(&NVIC_InitStructure);	//根據(jù)指定的參數(shù)初始化VIC寄存器

	//USART 初始化設(shè)置

	USART_InitStructure.USART_BaudRate = bound;//串口波特率
	USART_InitStructure.USART_WordLength = USART_WordLength_8b;//字長(zhǎng)為8位數(shù)據(jù)格式
	USART_InitStructure.USART_StopBits = USART_StopBits_1;//一個(gè)停止位
	USART_InitStructure.USART_Parity = USART_Parity_No;//無(wú)奇偶校驗(yàn)位
	USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_None;//無(wú)硬件數(shù)據(jù)流控制
	USART_InitStructure.USART_Mode = USART_Mode_Rx | USART_Mode_Tx;	//收發(fā)模式

  USART_Init(USART3, &USART_InitStructure); //初始化串口3
  USART_ITConfig(USART3, USART_IT_RXNE, ENABLE);//開(kāi)啟串口接受中斷
  USART_Cmd(USART3, ENABLE);                    //使能串口3 

}

void USART3_IRQHandler(void)                	//串口3中斷服務(wù)程序
{
	u8 Res;
#if SYSTEM_SUPPORT_OS 		//如果SYSTEM_SUPPORT_OS為真,則需要支持OS.
	OSIntEnter();    
#endif
	if(USART_GetITStatus(USART3, USART_IT_RXNE) != RESET)  //接收中斷(接收到的數(shù)據(jù)必須是0x0d 0x0a結(jié)尾)
		{
		Res = USART_ReceiveData(USART3);	//讀取接收到的數(shù)據(jù)
		printf("get data %c \r\n",Res);
		if((USART_RX_STA&0x8000)==0)//接收未完成
			{
			if(USART_RX_STA&0x4000)//接收到了0x0d
				{
				if(Res!=0x0a)USART_RX_STA=0;//接收錯(cuò)誤,重新開(kāi)始
				else USART_RX_STA|=0x8000;	//接收完成了 
				}
			else //還沒(méi)收到0X0D
				{	
				if(Res==0x0d)USART_RX_STA|=0x4000;
				else
					{
					USART_RX_BUF[USART_RX_STA&0X3FFF]=Res ;
					USART_RX_STA++;
					if(USART_RX_STA>(USART_REC_LEN-1))USART_RX_STA=0;//接收數(shù)據(jù)錯(cuò)誤,重新開(kāi)始接收	  
					}		 
				}
			}   		 
     } 
#if SYSTEM_SUPPORT_OS 	//如果SYSTEM_SUPPORT_OS為真,則需要支持OS.
	OSIntExit();  											 
#endif
} 
#endif	

通過(guò)上述的函數(shù),只需要在main函數(shù)設(shè)置相關(guān)的打印函數(shù)即可打印相關(guān)的內(nèi)容,這里不做相關(guān)的陳述,后面主函數(shù)會(huì)進(jìn)行相關(guān)的設(shè)置。

2.進(jìn)行相關(guān)的adc函數(shù)配置

adc.h函數(shù)代碼如下:

#ifndef __ADC_H
#define __ADC_H	
#include "sys.h"

void Adc_Init(void);
u16  Get_Adc(u8 ch); 
u16 Get_Adc_Average(u8 ch,u8 times); 
 
#endif

對(duì)應(yīng)的adc.c函數(shù)代碼:

 #include "adc.h"
 #include "delay.h"
//////////////////////////////////////////////////////////////////////////////////	 
//adc配置函數(shù)
////////////////////////////////////////////////////////////////////////////////// 
		   
//初始化ADC
//我們默認(rèn)將開(kāi)啟通道0~3																	   
void  Adc_Init(void)
{ 	
	ADC_InitTypeDef ADC_InitStructure; 
	GPIO_InitTypeDef GPIO_InitStructure;

	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA |RCC_APB2Periph_ADC1	, ENABLE );	  //使能ADC1通道時(shí)鐘
 

	RCC_ADCCLKConfig(RCC_PCLK2_Div6);   //設(shè)置ADC分頻因子6 72M/6=12,ADC最大時(shí)間不能超過(guò)14M

	//PC0 作為模擬通道輸入引腳                         
	GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0;
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AIN;		//模擬輸入引腳
	GPIO_Init(GPIOC, &GPIO_InitStructure);	

	ADC_DeInit(ADC1);  //復(fù)位ADC1 

	ADC_InitStructure.ADC_Mode = ADC_Mode_Independent;	//ADC工作模式:ADC1和ADC2工作在獨(dú)立模式
	ADC_InitStructure.ADC_ScanConvMode = DISABLE;	//模數(shù)轉(zhuǎn)換工作在單通道模式
	ADC_InitStructure.ADC_ContinuousConvMode = DISABLE;	//模數(shù)轉(zhuǎn)換工作在單次轉(zhuǎn)換模式
	ADC_InitStructure.ADC_ExternalTrigConv = ADC_ExternalTrigConv_None;	//轉(zhuǎn)換由軟件而不是外部觸發(fā)啟動(dòng)
	ADC_InitStructure.ADC_DataAlign = ADC_DataAlign_Right;	//ADC數(shù)據(jù)右對(duì)齊
	ADC_InitStructure.ADC_NbrOfChannel = 1;	//順序進(jìn)行規(guī)則轉(zhuǎn)換的ADC通道的數(shù)目
	ADC_Init(ADC1, &ADC_InitStructure);	//根據(jù)ADC_InitStruct中指定的參數(shù)初始化外設(shè)ADCx的寄存器   

  
	ADC_Cmd(ADC1, ENABLE);	//使能指定的ADC1
	
	ADC_ResetCalibration(ADC1);	//使能復(fù)位校準(zhǔn)  
	 
	while(ADC_GetResetCalibrationStatus(ADC1));	//等待復(fù)位校準(zhǔn)結(jié)束
	
	ADC_StartCalibration(ADC1);	 //開(kāi)啟AD校準(zhǔn)
 
	while(ADC_GetCalibrationStatus(ADC1));	 //等待校準(zhǔn)結(jié)束
 
//	ADC_SoftwareStartConvCmd(ADC1, ENABLE);		//使能指定的ADC1的軟件轉(zhuǎn)換啟動(dòng)功能

}				  
//獲得ADC值
//ch:通道值 0~3
u16 Get_Adc(u8 ch)   
{
  	//設(shè)置指定ADC的規(guī)則組通道,一個(gè)序列,采樣時(shí)間
	ADC_RegularChannelConfig(ADC1, ch, 1, ADC_SampleTime_239Cycles5 );	//ADC1,ADC通道,采樣時(shí)間為239.5周期	  			    
  
	ADC_SoftwareStartConvCmd(ADC1, ENABLE);		//使能指定的ADC1的軟件轉(zhuǎn)換啟動(dòng)功能	
	 
	while(!ADC_GetFlagStatus(ADC1, ADC_FLAG_EOC ));//等待轉(zhuǎn)換結(jié)束

	return ADC_GetConversionValue(ADC1);	//返回最近一次ADC1規(guī)則組的轉(zhuǎn)換結(jié)果
}

u16 Get_Adc_Average(u8 ch,u8 times)
{
	u32 temp_val=0;
	u8 t;
	for(t=0;t;t++)>

3.主函數(shù)內(nèi)容

#include "delay.h"

#include "common.h"
#include "usart.h"	 
#include "can.h" 
#include "string.h" 
#define adcx adc;

 int main(void)
 {	 
	 int real_100_vol;
	u8 Res,res,data;
	u16 adcx;
	u8 i=0,t=0;
	u8 cnt=11;

	delay_init();	    	 //延時(shí)函數(shù)初始化	  
	NVIC_PriorityGroupConfig(NVIC_PriorityGroup_2);//設(shè)置中斷優(yōu)先級(jí)分組為組2:2位搶占優(yōu)先級(jí),2位響應(yīng)優(yōu)先級(jí)
	uart_init(115200);	 	//串口初始化為115200
	Adc_Init();	        //adc初始化
   
	CAN_Mode_Init(CAN_SJW_1tq,CAN_BS2_8tq,CAN_BS1_9tq,8,CAN_Mode_LoopBack);//CAN初始化環(huán)回模式,波特率500Kbps ,設(shè)置8為500k,設(shè)置4為250k   
	printf("APP start OK!\r\n");
  
 	while(1)
	{
	
	char canbuf_8;
  int a = 165;
		adc=Get_Adc_Average(ADC_Channel_10,50);
		vol_led=(float)adc*(3.3/4096);
//		adcx=vol_led;
//		vol_led-=adcx;
//		vol_led*=1000;
		printf("AD值:%d\r\n 電壓值:%f V",adc,vol_led);  //打印ad值和電壓值
如何在STM32中要實(shí)現(xiàn)數(shù)據(jù)通訊



H文件與C文件進(jìn)行配置。

即可通過(guò)串口讀取到相關(guān)的數(shù)據(jù)AD值和電壓值。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5253

    瀏覽量

    119206
  • 數(shù)據(jù)
    +關(guān)注

    關(guān)注

    8

    文章

    6715

    瀏覽量

    88314
  • 串口
    +關(guān)注

    關(guān)注

    14

    文章

    1533

    瀏覽量

    75463
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    EtherCAT轉(zhuǎn)Modbus TCP協(xié)議網(wǎng)關(guān)(JM-ECT-TCP)

    JM-ECT-TCP網(wǎng)關(guān)實(shí)現(xiàn)EtherCAT網(wǎng)絡(luò)與Modbus TCP網(wǎng)絡(luò)之間的數(shù)據(jù)通訊,即將Modbus TCP設(shè)備轉(zhuǎn)換為EtherCAT設(shè)備。
    的頭像 發(fā)表于 09-07 17:05 ?190次閱讀
    EtherCAT轉(zhuǎn)Modbus TCP協(xié)議網(wǎng)關(guān)(JM-ECT-TCP)

    HT1S-COP-MDN-S10 網(wǎng)關(guān)與臺(tái)達(dá)PLC(CANOPEN)數(shù)據(jù)通訊配置說(shuō)明

    本案例測(cè)試主要實(shí)現(xiàn)采集多個(gè)MODBUS RTU設(shè)備接入到臺(tái)達(dá)CANOPEN接口的PLC進(jìn)行數(shù)據(jù)通訊。
    的頭像 發(fā)表于 06-13 15:19 ?508次閱讀
    HT1S-COP-MDN-S10 網(wǎng)關(guān)與臺(tái)達(dá)PLC(CANOPEN)<b class='flag-5'>數(shù)據(jù)通訊</b>配置說(shuō)明

    STM32F103 USB通訊出現(xiàn)數(shù)據(jù)丟失的原因?如何解決?

    用ST32F103的USB 做數(shù)據(jù)通訊,有大量的數(shù)據(jù)通過(guò)電腦發(fā)送到設(shè)備,少量數(shù)據(jù)回傳到電腦,中斷優(yōu)先級(jí)設(shè)為2 ,還有幾個(gè)定時(shí)器的也是中斷,優(yōu)先級(jí)比USB通訊的高,發(fā)現(xiàn)在大量連續(xù)發(fā)送
    發(fā)表于 04-29 07:28

    淺談光伏電站數(shù)據(jù)通訊管理機(jī)的設(shè)計(jì)與應(yīng)用

    淺談光伏電站數(shù)據(jù)通訊管理機(jī)的設(shè)計(jì)與應(yīng)用 張穎姣 江蘇安科瑞電器制造有限公司江蘇江陰214405 摘要 :設(shè)計(jì)了一種分布式光伏電站數(shù)據(jù)通訊管理機(jī),包括硬件系統(tǒng)和軟件系統(tǒng).硬件系統(tǒng)基于ARM嵌入式處理器
    的頭像 發(fā)表于 03-14 10:23 ?375次閱讀
    淺談光伏電站<b class='flag-5'>數(shù)據(jù)通訊</b>管理機(jī)的設(shè)計(jì)與應(yīng)用

    IXXAT CAN-IB系列高穩(wěn)定性通訊板卡

    CAN板卡經(jīng)常應(yīng)用于構(gòu)建現(xiàn)場(chǎng)總線測(cè)試實(shí)驗(yàn)室、工業(yè)控制、智能樓宇、汽車(chē)電子等領(lǐng)域中,進(jìn)行數(shù)據(jù)采集、數(shù)據(jù)通訊數(shù)據(jù)處理。
    的頭像 發(fā)表于 01-19 15:04 ?718次閱讀
    IXXAT CAN-IB系列高穩(wěn)定性<b class='flag-5'>通訊</b>板卡

    PLC之間數(shù)據(jù)通訊,PLC與智能儀表、設(shè)備之間WIFI無(wú)線通訊

    各種PLC之間雙向通訊 不同品牌不同型號(hào)的PLC,實(shí)現(xiàn)多對(duì)多的N:N通訊;同時(shí)支持以太網(wǎng)、串口連接;無(wú)需編寫(xiě)程序,簡(jiǎn)單配置主從站點(diǎn)的起始地址、數(shù)據(jù)長(zhǎng)度即可;各站點(diǎn)多線程方式獨(dú)立運(yùn)行,
    發(fā)表于 01-07 11:22

    光纖的優(yōu)勢(shì)和物理結(jié)構(gòu)

    正如我們所知,光纖通訊在我們今天的社會(huì)有著非常廣泛的應(yīng)用?;ヂ?lián)網(wǎng)的普及又依托在高性能的數(shù)據(jù)通訊上,而高速數(shù)據(jù)通訊,又依賴于高速的傳輸介質(zhì),就是我們今天所說(shuō)的光纖。
    的頭像 發(fā)表于 01-04 09:24 ?814次閱讀
    光纖的優(yōu)勢(shì)和物理結(jié)構(gòu)

    虹科技術(shù) | 保障數(shù)據(jù)傳輸穩(wěn)定性:BabyLIN產(chǎn)品的CRC算法實(shí)現(xiàn)

    CRC校驗(yàn)(循環(huán)冗余校驗(yàn))是數(shù)據(jù)通訊中最常采用的校驗(yàn)方式。CAN協(xié)議,總線通信節(jié)點(diǎn)也常采用CRC算法對(duì)各種總線傳輸?shù)?b class='flag-5'>數(shù)據(jù)進(jìn)行校驗(yàn)。
    的頭像 發(fā)表于 01-02 17:23 ?406次閱讀
    虹科技術(shù) | 保障<b class='flag-5'>數(shù)據(jù)</b>傳輸穩(wěn)定性:BabyLIN產(chǎn)品的CRC算法<b class='flag-5'>實(shí)現(xiàn)</b>

    PLC通過(guò)智能網(wǎng)關(guān),與OPCUA服務(wù)端通訊,實(shí)現(xiàn)標(biāo)簽數(shù)據(jù)讀寫(xiě)

    通過(guò)‘功能’-&gt;‘數(shù)據(jù)上報(bào)與平臺(tái)對(duì)接’,選擇‘專(zhuān)用協(xié)議數(shù)據(jù)通訊’,進(jìn)入以下頁(yè)面配置發(fā)送數(shù)據(jù)(OPCUA發(fā)送到PLC的數(shù)據(jù))、接收數(shù)據(jù)
    發(fā)表于 01-02 16:41

    工業(yè)生產(chǎn)中Profibus主站轉(zhuǎn)Profinet網(wǎng)關(guān)優(yōu)勢(shì)所在

    ,實(shí)現(xiàn)對(duì)Profibus-DP從站設(shè)備的控制和數(shù)據(jù)采集。 在程序實(shí)現(xiàn)數(shù)據(jù)交換和監(jiān)控功能,例如讀取從站設(shè)備的狀態(tài)
    發(fā)表于 12-11 14:14

    rs485轉(zhuǎn)Profinet網(wǎng)關(guān)實(shí)現(xiàn)LED信號(hào)傳輸

    本案例,倍訊科技rs485轉(zhuǎn) Profinet(BX-603-PN)網(wǎng)關(guān)作為 LED 顯示屏與西門(mén)子 1200PLC 之間的通訊轉(zhuǎn)換,使現(xiàn)場(chǎng)總線設(shè)備在兩種不同協(xié)議實(shí)現(xiàn)
    發(fā)表于 11-15 14:42 ?0次下載

    RS485轉(zhuǎn) Profinet網(wǎng)關(guān)實(shí)現(xiàn)LED信號(hào)傳輸在大型港口中的應(yīng)用

    本案例,倍訊科技rs485轉(zhuǎn) Profinet(BX-603-PN)網(wǎng)關(guān)作為 LED 顯示屏與西門(mén)子 1200PLC 之間的通訊轉(zhuǎn)換,使現(xiàn)場(chǎng)總線設(shè)備在兩種不同協(xié)議實(shí)現(xiàn)
    的頭像 發(fā)表于 11-15 10:34 ?418次閱讀
    RS485轉(zhuǎn) Profinet網(wǎng)關(guān)<b class='flag-5'>實(shí)現(xiàn)</b>LED信號(hào)傳輸在大型港口中的應(yīng)用

    基于NIOS II的多串口數(shù)據(jù)通信的實(shí)現(xiàn)

    電子發(fā)燒友網(wǎng)站提供《基于NIOS II的多串口數(shù)據(jù)通信的實(shí)現(xiàn).pdf》資料免費(fèi)下載
    發(fā)表于 10-27 10:19 ?0次下載
    基于NIOS II的多串口<b class='flag-5'>數(shù)據(jù)通</b>信的<b class='flag-5'>實(shí)現(xiàn)</b>

    3線串行數(shù)據(jù)通訊EEPROM的使用

    EEPROM(帶電可擦可編程只讀存儲(chǔ)器)是用戶可更改的只讀存儲(chǔ)器,可通過(guò)高于普通電壓的作用來(lái)擦除和重編程(重寫(xiě))。不像EPROM芯片,EEPROM不需從計(jì)算機(jī)取出即可修改。在一個(gè)EEPROM,當(dāng)
    的頭像 發(fā)表于 10-23 17:08 ?920次閱讀
    3線串行<b class='flag-5'>數(shù)據(jù)通訊</b>EEPROM的使用

    STM32何在諸多的單片機(jī)脫穎而出?

    STM32何在諸多的單片機(jī)脫穎而出?
    的頭像 發(fā)表于 10-19 18:05 ?413次閱讀
    <b class='flag-5'>STM32</b>為<b class='flag-5'>何在</b>諸多的單片機(jī)<b class='flag-5'>中</b>脫穎而出?