0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

異步設(shè)計(jì)之Verilog時(shí)序分析

OpenFPGA ? 來源:OpenFPGA ? 作者:OpenFPGA ? 2022-03-09 15:28 ? 次閱讀

沒有任何寄存器邏輯,RTL設(shè)計(jì)是不完整的。RTL是寄存器傳輸級(jí)或邏輯,用于描述依賴于當(dāng)前輸入和過去輸出的數(shù)字邏輯。

ef9e7190-9e01-11ec-952b-dac502259ad0.png

在異步計(jì)數(shù)器中,時(shí)鐘信號(hào)不由公共時(shí)鐘源驅(qū)動(dòng)。如果LSB觸發(fā)器的輸出作為后續(xù)觸發(fā)器的輸入,則設(shè)計(jì)是異步的。異步設(shè)計(jì)的主要問題是由于級(jí)聯(lián),觸發(fā)器的累積時(shí)鐘到q延遲。由于存在小故障或尖峰問題,ASIC/FPGA設(shè)計(jì)中不建議使用異步計(jì)數(shù)器,甚至此類設(shè)計(jì)的時(shí)序分析也非常復(fù)雜。

所以接下來只介紹一種計(jì)數(shù)器

波紋計(jì)數(shù)器Ripple Counters

紋波計(jì)數(shù)器是一個(gè)異步計(jì)數(shù)器,如圖5.33所示。如邏輯圖所示,所有觸發(fā)器均為正邊緣觸發(fā),LSB寄存器從主時(shí)鐘源接收時(shí)鐘。LSB觸發(fā)器的輸出作為下一級(jí)的時(shí)鐘輸入。

efaea47a-9e01-11ec-952b-dac502259ad0.png

圖5.33三位紋波計(jì)數(shù)器邏輯圖

四位紋波遞增計(jì)數(shù)器的Verilog RTL如例5.18所示。綜合邏輯如圖5.34所示。

efc0b5e8-9e01-11ec-952b-dac502259ad0.png

示例5.18四位紋波遞增計(jì)數(shù)器的Verilog RTL

efe0a57e-9e01-11ec-952b-dac502259ad0.png

圖5.34四位紋波遞增計(jì)數(shù)器的綜合邏輯

內(nèi)存模塊設(shè)計(jì)

在大多數(shù)ASIC/FPGA設(shè)計(jì)和基于SoC的設(shè)計(jì)中,存儲(chǔ)器用于存儲(chǔ)二進(jìn)制數(shù)據(jù)。存儲(chǔ)器可以是ROMRAM、單端口或雙端口類型。本節(jié)的目的是描述基本的單端口讀寫存儲(chǔ)器。時(shí)序如圖5.35所示。

如時(shí)序所示,讀寫操作由“rd_wr”控制,如果“cs”為高電平,則在時(shí)鐘信號(hào)“clk”的正邊緣對(duì)數(shù)據(jù)進(jìn)行采樣。地址輸入由總線“address”描述。

單端口讀寫存儲(chǔ)器的Verilog RTL如例5.19所示。

eff68876-9e01-11ec-952b-dac502259ad0.png

圖5.35存儲(chǔ)器的時(shí)序

f00eb9dc-9e01-11ec-952b-dac502259ad0.png

示例5.19讀寫存儲(chǔ)器的Verilog RTL

審核編輯:‘’

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5253

    瀏覽量

    119212
  • 計(jì)數(shù)器
    +關(guān)注

    關(guān)注

    32

    文章

    2241

    瀏覽量

    93980
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    1990

    瀏覽量

    60873

原文標(biāo)題:Verilog時(shí)序邏輯硬件建模設(shè)計(jì)(五)異步計(jì)數(shù)器&總結(jié)

文章出處:【微信號(hào):Open_FPGA,微信公眾號(hào):OpenFPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    什么是時(shí)序分析?教你掌握FPGA時(shí)序約束

    時(shí)序分析本質(zhì)上就是一種時(shí)序檢查,目的是檢查設(shè)計(jì)中所有的D觸發(fā)器是否能夠正常工作,也就是檢查D觸發(fā)器的同步端口(數(shù)據(jù)輸入端口)的變化是否滿足建立時(shí)間要求(Setup)和保持時(shí)間要求(Hold);檢查D
    發(fā)表于 07-14 10:48 ?2778次閱讀
    什么是<b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>?教你掌握FPGA<b class='flag-5'>時(shí)序</b>約束

    FPGA設(shè)計(jì)中的時(shí)序分析異步設(shè)計(jì)注意事項(xiàng)

    FPGA設(shè)計(jì)中的時(shí)序分析異步設(shè)計(jì)注意事項(xiàng)建立時(shí)間(setup time):是指在觸發(fā)器的時(shí)鐘信號(hào)上升沿到來以前,數(shù)據(jù)穩(wěn)定不變的時(shí)間,如果建立時(shí)間不夠,數(shù)據(jù)將不能在這個(gè)時(shí)鐘上升沿被打入觸發(fā)器;保持
    發(fā)表于 12-07 10:14

    異步sram測(cè)試verilog代碼

    異步sram測(cè)試verilog代碼是個(gè)很好的參考程序。
    發(fā)表于 01-13 10:24

    verilog描述異步置0,異步置1功能的D觸發(fā)器

    新手,verilog描述異步置0,異步置1功能的D觸發(fā)器,置0低電平有效,置1高電平有效,用modelsim仿真時(shí),個(gè)別時(shí)序存在問題,費(fèi)解,請(qǐng)指出問題所在。謝謝。代碼及仿真圖形如下:m
    發(fā)表于 04-04 20:55

    Cadence高速PCB的時(shí)序分析

    Cadence高速PCB的時(shí)序分析:列位看觀,在上一次的連載中,我們介紹了什么是時(shí)序電路,時(shí)序分析的兩種分類(同步和
    發(fā)表于 07-01 17:23 ?0次下載

    異步時(shí)序邏輯電路

    異步時(shí)序邏輯電路:本章主要從同步時(shí)序邏輯電路與異步時(shí)序邏輯電路狀態(tài)改變方式不同的特殊性出發(fā), 系統(tǒng)的介紹
    發(fā)表于 09-01 09:12 ?0次下載

    ASIC中的異步時(shí)序設(shè)計(jì)

    絕大部分的ASIC設(shè)計(jì)工程師在實(shí)際工作中都會(huì)遇到異步設(shè)計(jì)的問題,本文針對(duì)異步時(shí)序產(chǎn)生的問題,介紹了幾種同步的策略,特別是結(jié)繩法和異步FIFO的異步
    發(fā)表于 01-16 14:35 ?36次下載

    時(shí)序約束與時(shí)序分析 ppt教程

    時(shí)序約束與時(shí)序分析 ppt教程 本章概要:時(shí)序約束與時(shí)序分析基礎(chǔ)常用
    發(fā)表于 05-17 16:08 ?0次下載

    Verilog HDL語言實(shí)現(xiàn)時(shí)序邏輯電路

    Verilog HDL語言實(shí)現(xiàn)時(shí)序邏輯電路 在Verilog HDL語言中,時(shí)序邏輯電路使用always語句塊來實(shí)現(xiàn)。例如,實(shí)現(xiàn)一個(gè)帶有異步
    發(fā)表于 02-08 11:46 ?4595次閱讀

    如何使用Verilog-HDL做CPLD設(shè)計(jì)的時(shí)序邏輯電路的實(shí)現(xiàn)

    本文檔的主要內(nèi)容詳細(xì)介紹的是如何使用Verilog-HDL做CPLD設(shè)計(jì)的時(shí)序邏輯電路的實(shí)現(xiàn)。
    發(fā)表于 12-12 16:25 ?8次下載
    如何使用<b class='flag-5'>Verilog</b>-HDL做CPLD設(shè)計(jì)的<b class='flag-5'>時(shí)序</b>邏輯電路的實(shí)現(xiàn)

    FPGA何為異步時(shí)序

    異步時(shí)序電路是指電路中除以使用帶時(shí)鐘的觸發(fā)器外,還可以使用不帶時(shí)鐘的觸發(fā)器和延遲元件作為存儲(chǔ)元件;電路中沒有統(tǒng)一的時(shí)鐘;電路狀態(tài)的改變由外部輸入的變化直接引起.
    的頭像 發(fā)表于 11-27 07:04 ?1776次閱讀

    靜態(tài)時(shí)序分析:如何編寫有效地時(shí)序約束(一)

    靜態(tài)時(shí)序分析是一種驗(yàn)證方法,其基本前提是同步邏輯設(shè)計(jì)(異步邏輯設(shè)計(jì)需要制定時(shí)鐘相對(duì)關(guān)系和最大路徑延時(shí)等,這個(gè)后面會(huì)說)。靜態(tài)時(shí)序分析僅關(guān)注
    的頭像 發(fā)表于 11-22 07:07 ?3374次閱讀

    什么是同步時(shí)序電路和異步時(shí)序電路,同步和異步電路的區(qū)別?

    同步和異步時(shí)序電路都是使用反饋來產(chǎn)生下一代輸出的時(shí)序電路。根據(jù)這種反饋的類型,可以區(qū)分這兩種電路。時(shí)序電路的輸出取決于當(dāng)前和過去的輸入。時(shí)序
    的頭像 發(fā)表于 03-25 17:29 ?2.3w次閱讀
    什么是同步<b class='flag-5'>時(shí)序</b>電路和<b class='flag-5'>異步</b><b class='flag-5'>時(shí)序</b>電路,同步和<b class='flag-5'>異步</b>電路的區(qū)別?

    為什么異步fifo中讀地址同步在寫時(shí)鐘域時(shí)序分析不通過?

    為什么異步fifo中讀地址同步在寫時(shí)鐘域時(shí)序分析不通過? 異步FIFO中讀地址同步在寫時(shí)鐘域時(shí)序分析
    的頭像 發(fā)表于 10-18 15:23 ?570次閱讀

    verilog同步和異步的區(qū)別 verilog阻塞賦值和非阻塞賦值的區(qū)別

    Verilog是一種硬件描述語言,用于設(shè)計(jì)和模擬數(shù)字電路。在Verilog中,同步和異步是用來描述數(shù)據(jù)傳輸和信號(hào)處理的兩種不同方式,而阻塞賦值和非阻塞賦值是兩種不同的賦值方式。本文將詳細(xì)解釋
    的頭像 發(fā)表于 02-22 15:33 ?1186次閱讀