0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

利用ORAN IP的例子工程來做仿真

XILINX開發(fā)者社區(qū) ? 來源:XILINX開發(fā)者社區(qū) ? 作者:XILINX開發(fā)者社區(qū) ? 2022-03-11 09:42 ? 次閱讀

目前的 ORAN IP提供兩種例子工程:

1. 一個(gè)是新建Block Design,添加IP之后,通過運(yùn)行Block Automation來產(chǎn)生一個(gè)例子。這個(gè)例子不僅包含了ORAN IP,也將物理層所需要的10G/25G以太網(wǎng)IP和一個(gè)1588 PTP的用例都囊括了。該例子工程主要用于做板上demo,具體后續(xù)上板操作方式,以及相關(guān)軟件驅(qū)動(dòng),可以在下面這個(gè)AR里了解到詳情:

https://support.xilinx.com/s/article/1254509

2. 另一個(gè)方式是直接從IP Catalog里添加一個(gè)新的ORAN IP到Vivado工程里面,然后右鍵點(diǎn)擊這個(gè)IP,選擇Open IP Example Design…,這樣產(chǎn)生的例子工程,只包含了一個(gè)ORAN IP,沒有以太網(wǎng)等。但是其在ORAN IP外添加了testbench和測(cè)試用例,因此這個(gè)例子工程可以用來跑仿真。產(chǎn)生完畢之后,直接點(diǎn)擊Vivado里面的Run Behavioral Simulation,就能把仿真跑起來,并查看例子是如何完成ORAN的同步,以及收發(fā)數(shù)據(jù)。

接下來,本文將指導(dǎo)用戶,如何使用第二種方式產(chǎn)生的例子工程,添加自己的數(shù)據(jù)激勵(lì),用于完成自己所需要的仿真驗(yàn)證。

首先,用戶的測(cè)試數(shù)據(jù)激勵(lì),是來自物理層以太網(wǎng)的數(shù)據(jù)包,一般會(huì)用pcap文件(如果還沒有的話,可以先搜索例子工程目錄下面,有幾個(gè)pcap文件的例子,供參考)。使用Wireshark打開pcap文件(注意選ORAN協(xié)議),就可以看到包里面具體數(shù)據(jù)的解析了,如下圖:

5eb56434-908e-11ec-952b-dac502259ad0.png

而IP仿真例子工程里用的數(shù)據(jù)激勵(lì)則來自于memory文件,如下圖,找到對(duì)應(yīng)目錄下的文件,客戶可以手動(dòng)或者自己寫個(gè)腳本,修改里面數(shù)據(jù)為自己的數(shù)據(jù)激勵(lì)(比如先從Wireshark里導(dǎo)出一個(gè)JSON的數(shù)據(jù)文件),就可以拿例子工程仿真來仿自己的數(shù)據(jù)源了。

5eccdede-908e-11ec-952b-dac502259ad0.png

Memory文件里面的數(shù)據(jù)激勵(lì),按如下格式排列:

在每個(gè)以太網(wǎng)包開始之前有兩行:

第一行是包開始的時(shí)間,

第二行是包長(zhǎng)度,下圖中的這個(gè)例子,就是IP例子工程提供的數(shù)據(jù)激勵(lì)用例,第一個(gè)包長(zhǎng)度為6e,即長(zhǎng)度為110 bytes。

5f08752a-908e-11ec-952b-dac502259ad0.png

這樣在仿真的時(shí)候,就可以在以太網(wǎng)的RX側(cè)到ORAN的defm這個(gè)接口處,看到上面這些數(shù)據(jù)了,如下圖:

5f4b1164-908e-11ec-952b-dac502259ad0.png

例子仿真工程當(dāng)中,其它用戶還需要修改的地方,有以下兩處:

1. 按照用戶需求,配置ORAN的寄存器,打開“demo_tb.v”進(jìn)行修改。

a. 可以直接修改下面這段tb里的配置,也可以先注釋掉下面這段配置。

5f6f9c32-908e-11ec-952b-dac502259ad0.png

b. 然后用exdes_axi_wr來將你需要配置的寄存器值依次寫入,如下:

exdes_axi_wr(`IP_BASE_ADDR + `hE600 , `hxxxx );

exdes_axi_wr(`IP_BASE_ADDR + `hE608 , `hx );

exdes_axi_wr(`IP_BASE_ADDR + `h6110 , `hx );

2. 由于產(chǎn)生的這個(gè)例子工程,總是用的200MHz內(nèi)部時(shí)鐘。還可以修改時(shí)鐘頻率為用戶實(shí)際設(shè)計(jì)里的時(shí)鐘頻率。

由于時(shí)鐘用到的地方不少,需要修改的地方包括例子工程里面的以下幾處:

a. ORAN IP產(chǎn)生的圖形界面里面

b. IP “torwave”的產(chǎn)生圖形界面里面,包括Clocks For 10ms,1ms,Ps Per Clock

c. Clocking Wizard的clk_out2輸出

d. 還有“radio_start_recover”,如果用到的話

e. 以及demo_tb.v里的clk_in_ps

5f934d58-908e-11ec-952b-dac502259ad0.png

總之,經(jīng)過以上的修改,用戶就可以利用ORAN IP提供的例子工程,跑自己的數(shù)據(jù)激勵(lì)仿真了。這樣用戶就可以驗(yàn)證IP的配置,針對(duì)自己的ORAN下行(以太網(wǎng)接口)數(shù)據(jù),是否能正確工作起來。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 驅(qū)動(dòng)
    +關(guān)注

    關(guān)注

    12

    文章

    1788

    瀏覽量

    84906
  • IP
    IP
    +關(guān)注

    關(guān)注

    5

    文章

    1541

    瀏覽量

    148918
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3971

    瀏覽量

    132958

原文標(biāo)題:開發(fā)者分享|如何利用 ORAN IP 的例子工程做仿真

文章出處:【微信號(hào):gh_2d1c7e2d540e,微信公眾號(hào):XILINX開發(fā)者社區(qū)】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    利用逆變器PSPICE仿真模型模擬瞬間動(dòng)態(tài)響應(yīng)

    電子發(fā)燒友網(wǎng)站提供《利用逆變器PSPICE仿真模型模擬瞬間動(dòng)態(tài)響應(yīng).docx》資料免費(fèi)下載
    發(fā)表于 06-05 13:18 ?0次下載

    FPGA開發(fā)如何降低成本,比如利用免費(fèi)的IP內(nèi)核

    。 驗(yàn)證和測(cè)試:集成IP內(nèi)核后,需要對(duì)整個(gè)設(shè)計(jì)進(jìn)行驗(yàn)證和測(cè)試,以確保內(nèi)核的正確性和性能。這可以通過仿真、硬件在環(huán)測(cè)試或?qū)嶋H硬件測(cè)試完成。 優(yōu)化和迭代:根據(jù)測(cè)試結(jié)果,可能需要對(duì)設(shè)計(jì)進(jìn)行優(yōu)化,如調(diào)整內(nèi)核
    發(fā)表于 04-28 09:41

    如何利用Tcl腳本在Manage IP方式下實(shí)現(xiàn)對(duì)IP的高效管理

    在Vivado下,有兩種方式管理IP。一種是創(chuàng)建FPGA工程之后,在當(dāng)前工程中選中IP Catalog,生成所需IP,這時(shí)相應(yīng)的
    的頭像 發(fā)表于 04-22 12:22 ?544次閱讀
    如何<b class='flag-5'>利用</b>Tcl腳本在Manage <b class='flag-5'>IP</b>方式下實(shí)現(xiàn)對(duì)<b class='flag-5'>IP</b>的高效管理

    怎么用Vivado覆蓋率分析

    在做仿真的時(shí)候往往會(huì)去做代碼覆蓋率和功能覆蓋率的分析,保證仿真的比較充分完備的。
    的頭像 發(fā)表于 01-03 12:34 ?1233次閱讀
    怎么用Vivado<b class='flag-5'>做</b>覆蓋率分析

    什么是子網(wǎng)掩碼?如何根據(jù)掩碼確定ip地址網(wǎng)段?

    什么是子網(wǎng)掩碼?如何根據(jù)掩碼確定ip地址網(wǎng)段?如何判斷兩個(gè)IP地址是否在同一個(gè)網(wǎng)段? 子網(wǎng)掩碼是用于劃分網(wǎng)絡(luò)中主機(jī)和網(wǎng)絡(luò)地址的一個(gè)32位二進(jìn)制數(shù)字。它在IP地址中的每個(gè)位上與相應(yīng)的
    的頭像 發(fā)表于 12-07 09:40 ?1748次閱讀

    AMD Versal系列CIPS IP核建立示例工程

    接著上一篇“AMD Versal系列CIPS IP核介紹”文章進(jìn)一步講解如何來建立CIPS IP核示例工程。
    的頭像 發(fā)表于 12-05 13:34 ?540次閱讀
    AMD Versal系列CIPS <b class='flag-5'>IP</b>核建立示例<b class='flag-5'>工程</b>

    為多個(gè)Vivado工程復(fù)用遠(yuǎn)程IP高速緩存

    在設(shè)計(jì)周期中,您可保留多個(gè)版本的工程,這些工程使用相同的 IP 和相同的配置。重新運(yùn)行整個(gè)工程會(huì)導(dǎo)致每次都要重新生成 IP,很費(fèi)時(shí)間。
    的頭像 發(fā)表于 12-01 09:14 ?568次閱讀
    為多個(gè)Vivado<b class='flag-5'>工程</b>復(fù)用遠(yuǎn)程<b class='flag-5'>IP</b>高速緩存

    for循環(huán)的基本例子

    for循環(huán)是一種常見的循環(huán)結(jié)構(gòu),用于重復(fù)執(zhí)行一段代碼。它通常由三個(gè)部分組成:循環(huán)變量的初始化、循環(huán)條件和循環(huán)變量更新。下面將通過詳細(xì)的例子來解釋for循環(huán)的用法和工作原理。 首先,我們考慮一個(gè)簡(jiǎn)單
    的頭像 發(fā)表于 11-22 10:00 ?1745次閱讀

    FPGA新IP核學(xué)習(xí)的正確打開方式

    例程 右鍵點(diǎn)擊剛生成的IP,選擇“Open IPExample Design”,打開IP對(duì)應(yīng)配置下的測(cè)試工程,選擇指定路徑,自動(dòng)打開新生成的測(cè)試工程。 4、閱讀示例
    發(fā)表于 11-17 11:09

    Xilinx FPGA IP之Block Memory Generator仿真

    上文對(duì)BMG ip的基本情況進(jìn)行了簡(jiǎn)單的描述,本文通過例化仿真實(shí)際使用功能一下這個(gè)IP。
    的頭像 發(fā)表于 11-14 18:24 ?1392次閱讀
    Xilinx FPGA <b class='flag-5'>IP</b>之Block Memory Generator<b class='flag-5'>仿真</b>

    基于NS2仿真IP網(wǎng)絡(luò)性能分析與研究

    電子發(fā)燒友網(wǎng)站提供《基于NS2仿真IP網(wǎng)絡(luò)性能分析與研究.pdf》資料免費(fèi)下載
    發(fā)表于 10-24 11:41 ?0次下載
    基于NS2<b class='flag-5'>仿真</b>的<b class='flag-5'>IP</b>網(wǎng)絡(luò)性能分析與研究

    萊迪思ORAN解決方案加快電信應(yīng)用開發(fā)和上市

    萊迪思半導(dǎo)體公司近日宣布萊迪思ORAN解決方案集合榮獲2023年網(wǎng)絡(luò)安全突破獎(jiǎng)“年度整體網(wǎng)絡(luò)解決方案”類別。萊迪思ORAN旨在提供穩(wěn)定的控制數(shù)據(jù)安全性、靈活的前傳同步和低功耗硬件加速,實(shí)現(xiàn)安全
    的頭像 發(fā)表于 10-20 17:03 ?782次閱讀

    工程師如何使用ADS仿真?如何優(yōu)化ADS仿真?

    篇文章中,我們將討論工程師如何使用ADS仿真設(shè)計(jì)和優(yōu)化他們的電路。 簡(jiǎn)介: ADS是一種用于微波和射頻電路設(shè)計(jì)、仿真和優(yōu)化的計(jì)算機(jī)軟件工具。ADS是一種強(qiáng)大而通用的工具,可用于設(shè)計(jì)各
    的頭像 發(fā)表于 10-20 14:22 ?2225次閱讀

    利用TIM5_CH1輸入捕獲

    本實(shí)驗(yàn)利用TIM5_CH1輸入捕獲,我們將捕獲PA0上的高電平脈寬,并將脈寬時(shí)間通過串口打印出來,可以通過按WK_UP按鍵,模擬輸入高電平。同時(shí),本實(shí)驗(yàn)將保留上一個(gè)實(shí)驗(yàn)的PWM輸出,DS0還是
    發(fā)表于 10-07 08:45