0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Verilog設(shè)計(jì)過程中的一些經(jīng)驗(yàn)與知識點(diǎn)

FPGA之家 ? 來源:時(shí)沿科技 ? 作者:Nemo_Yxc ? 2022-03-15 12:19 ? 次閱讀

“ 本文主要分享了在Verilog設(shè)計(jì)過程中一些經(jīng)驗(yàn)與知識點(diǎn),主要包括塊語句、阻塞賦值和非阻塞賦值 以及結(jié)構(gòu)說明語句(initial, always, task, function)。

01塊語句

  • 順序塊 begin…end

    • 塊內(nèi)的語句是按照順序執(zhí)行的;

    • 塊內(nèi)的每條語句延時(shí)控制都是相對于上條語句結(jié)束的時(shí)刻;

    • 仿真時(shí),執(zhí)行到最后一條語句該語句塊執(zhí)行結(jié)束。

  • 并行塊fork…end

    • 塊內(nèi)的語句是按照獨(dú)立的同時(shí)開始執(zhí)行的;

    • 塊內(nèi)的每條語句延時(shí)控制都是相對于程序進(jìn)入該語句塊的時(shí)刻而言;

    • 仿真時(shí),所需最長時(shí)間的語句執(zhí)行結(jié)束后,該語句塊執(zhí)行結(jié)束。

例:

reg  [7:0]    l1,l2;  reg[7:0]k1,k2;initialbeginl1=0;l2=0;#15l1=2;#10l2=8;endinitialforkk1=0;k2=0;#15k1=2;#10k2=8;join
仿真結(jié)果如下:

c6f5f9e0-9198-11ec-952b-dac502259ad0.png

從仿真結(jié)果可以看出:在順序塊中,15ns的時(shí)候,l1被賦值為8’h2,在25ns的時(shí)候,l2被賦值為8’h8;而在并行塊中,10ns的時(shí)候,k2被賦值為8’h8,在15ns的時(shí)候,k1被賦值為8’h2??梢院苋菀酌靼醉樞驂K和并行塊的特性。

02阻塞賦值和非阻塞賦值

  • 阻塞賦值(Blocking)

阻塞賦值用“=”表示:在賦值時(shí),先計(jì)算等號(“=”)右邊部分的值,這時(shí)賦值語句不允許其他的語句干擾,直到賦值完成,也就是說“阻塞”是指在當(dāng)前的賦值完成前阻塞其他類型的賦值任務(wù)。
  • 非阻塞賦值(Non_Blocking)

非阻塞賦值用“<=”表示:在賦值操作時(shí)刻開始計(jì)算非阻塞賦值右邊部分的值,賦值操作結(jié)束時(shí)刻才更新左邊部分。

例1:組合邏輯中的阻塞與非阻塞

阻塞代碼如下:
always@(a,b,c,d)  begin    i1 = a & b;    i2 = c & d;    i3 = i1 & i2;end
仿真結(jié)果如下:

c71bc0a8-9198-11ec-952b-dac502259ad0.png

非阻塞代碼如下:
always@(a,b,c,d)  begin    i1 <= a & b;    i2 <= c & d;    i3 <= i1 & i2;end

仿真結(jié)果如下:

c73d7d74-9198-11ec-952b-dac502259ad0.png

可以看出i1和i2在阻塞和非阻塞中結(jié)果相同,但是i3的結(jié)果卻不同。這是因?yàn)樵谧枞x值中,i3的賦值使用的是i1和i2更新后的值,而非阻塞賦值中i3的賦值則使用的是i1和i2更新前的值。要想解決這一問題,則需要將“always@(a,b,c,d)”改成“always@(a,b,c,d,i1,i2)”代碼如下:
always@(a,b,c,d,i1,i2)  begin    i1<=a&b;    i2 <= c & d;    i3 <= i1 & i2;  end
仿真結(jié)果如下:

c75bfbbe-9198-11ec-952b-dac502259ad0.png

綜上,組合邏輯中更適合用阻塞賦值語句。

例2:時(shí)序邏輯中的阻塞和非阻塞。

以反饋振蕩器的代碼為例。非阻塞賦值代碼:
always@(posedge  clk,posedge  rst)    begin      if(rst)  a1 <=0;      else    a1 <=a2;    endalways@(posedge  clk,posedge  rst)    begin      if(rst)  a2 <=1;      else    a2 <=a1;end

阻塞賦值代碼:

always@(posedge  clk,posedge  rst)  begin      if(rst)   b1 = 0;      else      b1 = b2;  endalways@(posedgeclk,posedgerst)  begin      if(rst)   b2 = 1;      else      b2 = b1;  end

仿真結(jié)果如下:

c77723c6-9198-11ec-952b-dac502259ad0.png

可以看出阻塞賦值語句并不能達(dá)到我們想要的效果;而且綜合后阻塞賦值語句中,無法確定哪個always塊中的時(shí)鐘沿先到達(dá),哪個always塊中的時(shí)鐘后到達(dá),所以存在一個冒險(xiǎn)競爭的問題。綜上,時(shí)序邏輯中更適合用非阻塞賦值語句。

03結(jié)構(gòu)說明語句(initial, always, task, function

  • 語句initial

語法格式如下:
initial begin  // Add code hereend
一般initial語句用于測試文件的編寫;但是隨著編譯器的進(jìn)步,現(xiàn)在也可以綜合,常用于一些變量的初始化。無論是用在什么場景,initial語句只執(zhí)行一次。
  • 語句always

語法格式如下: always <時(shí)序控制> <語句>

例1:生成仿真時(shí)的信號波形

always可以用于仿真時(shí)的波形生成:always #5 clk = ~clk;這個例子就形成了一個周期為10ns(時(shí)間單位ns根據(jù)`timescale確定)的方波,常用來描述時(shí)鐘信號(如果將#5去掉,那么會生成一個延遲為0的無限循環(huán)跳變過程,會發(fā)生仿真鎖死,這是不推薦的)。仿真結(jié)果如下:

c79f5666-9198-11ec-952b-dac502259ad0.png

例2:

實(shí)現(xiàn)鎖存器和觸發(fā)器

always@(posedge  clk or posedge   rst)   begin    if(rst)  cnt <= 0;      else    cnt <= cnt + 1;  endalways@(posedge  clk , posedge   rst)  begin    //add codesend
多個敏感事件可以用“or”或者“,”區(qū)分(rst為復(fù)位信號,可以是posedge也可以是negedge)。

c7c624a8-9198-11ec-952b-dac502259ad0.png

例3:實(shí)現(xiàn)組合邏輯

利用always實(shí)現(xiàn)組合邏輯時(shí),要將所有的信號放進(jìn)敏感列表,而時(shí)序邏輯中則不需要。
always@(aorborc)  beginx=x+1;end
上面的代碼表示,a、b、c中任意電平發(fā)生變化,begin…end語句就會被觸發(fā)。仿真結(jié)果如下所示:

c7e60fde-9198-11ec-952b-dac502259ad0.png

always@(a or b or c or d or e)     begin       out = a + b + c + d + e;end
如上所示,因?yàn)槊舾辛斜肀容^長,容易寫錯,所以Verilog又提供了兩個特殊的符號:@*和@(*)。簡化代碼如下:
always@(*)beginout=a+b+c+d+ e;end
仿真結(jié)果如下圖所示:

c808a6a2-9198-11ec-952b-dac502259ad0.png

注意:always模塊內(nèi)被賦值的每一個信號都必須定義為reg型。
  • 語句task

語句task的定義:

task <任務(wù)名>;

<端口及數(shù)據(jù)類型聲明語句>;

<語句1>;

<語句n>;

endtask

示例代碼如下:
reg      [7:0]      j,k,i,x;  always@(posedge  clk  or posedge  rst)    begin      if(rst)        begin          i <= 0;          j <= 0;          k <= 0;          x <= 0;          task1(i,j,k);        end      else        begin          i <= i + 1;          x <= i + 10;          task1(i,j,k);        endend  task task1;      input    [7:0]      i;      output   [7:0]      j1;      output   [7:0]      k1;    begin      j1 = i + 10;      k1 = i + 11;    endendtask
仿真結(jié)果如下圖所示:

c825969a-9198-11ec-952b-dac502259ad0.png

  • 語句function

函數(shù)(function)的目的是返回一個用于表達(dá)式的值。

語句function的定義:

function<返回值的類型或范圍>(函數(shù)名)

<端口說明語句>

<變量類型說明語句>

begin

end

endfunction

示意代碼如下:
  reg      [7:0]      i,j;  reg      [8:0]      sum_data;    always@(posedge  clk  or posedge  rst)    begin      if(rst)        begin          i <= 100;          j <= 31;          sum_data <= sum(i,j);        end      else        begin          i <= i + 1;          j <= j + 2;          sum_data <= sum(i,j);        end    end
  function  [8:0]  sum;    input  [7:0]  i1;    input  [7:0]  j1;      begin        sum = i1 + j1;      end  endfunction

?

仿真結(jié)果如下圖所示:

c85401ba-9198-11ec-952b-dac502259ad0.png

注意:initial、always、task和function都是可以綜合的。

原文標(biāo)題:Verilog基礎(chǔ)知識學(xué)習(xí)筆記(二)

文章出處:【微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

審核編輯:湯梓紅
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3971

    瀏覽量

    132949
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109712
  • 程序
    +關(guān)注

    關(guān)注

    115

    文章

    3719

    瀏覽量

    80355
收藏 人收藏

    評論

    相關(guān)推薦

    一些小眾的C語言知識點(diǎn)

    一些小眾的C語言知識點(diǎn),可能會比較有趣或者怪異,分享給大家看下。
    發(fā)表于 09-26 10:16 ?603次閱讀

    請問學(xué)習(xí)LLC電源需要掌握一些什么知識點(diǎn)

    我是個剛學(xué)習(xí)電源的萌新,請問學(xué)習(xí)LLC電源需要掌握一些什么知識點(diǎn)
    發(fā)表于 03-05 21:42

    使用WiFi的過程中總結(jié)的一些經(jīng)驗(yàn)

    簡述在我們做項(xiàng)目開發(fā),經(jīng)常會用到WiFi,這次給大家分享下我在使用WiFi的過程中總結(jié)的一些經(jīng)驗(yàn),這次用到的是esp8266WiFi模塊
    發(fā)表于 08-05 07:30

    記錄一些飛控開發(fā)過程知識點(diǎn)

    寫在前面開這個專欄的目的主要是深感自己對飛控軟件、算法的知識點(diǎn)過于雜亂,很久沒有進(jìn)行系統(tǒng)的總結(jié)了,因此決定寫幾篇文章記錄一些飛控開發(fā)過程知識點(diǎn)。主要是針對
    發(fā)表于 08-06 09:59

    記錄一些飛控開發(fā)過程知識點(diǎn)

    寫在前面開這個專欄的目的主要是深感自己對飛控軟件、算法的知識點(diǎn)過于雜亂,很久沒有進(jìn)行系統(tǒng)的總結(jié)了,因此決定寫幾篇文章記錄一些飛控開發(fā)過程知識點(diǎn)。主要是針對
    發(fā)表于 08-09 08:40

    嵌入式開發(fā)過程中遇到的知識點(diǎn)記錄

    前言本篇主要是對嵌入式開發(fā)過程中遇到的一些很小的知識點(diǎn)進(jìn)行記錄,就像閱讀篇英語文章,碰見一些不認(rèn)識的,不熟悉的單詞,語法,查閱資料搞懂記錄
    發(fā)表于 12-14 07:37

    Verilog HDL數(shù)字系統(tǒng)設(shè)計(jì)教程》(第四版)學(xué)習(xí)筆記 part1 Verilog數(shù)字設(shè)計(jì)基礎(chǔ)——第知識點(diǎn)總結(jié)

    本教材,第四版是它的最新版本。在學(xué)習(xí)的過程中,博主對每章的知識點(diǎn)進(jìn)行了梳理,對其中重要的知識點(diǎn)(博主認(rèn)為的)都做了歸納,當(dāng)然也有些知識點(diǎn)
    發(fā)表于 03-22 10:26

    關(guān)于紅外通信的一些問題知識點(diǎn)

    關(guān)于紅外通信的一些問題知識點(diǎn)。
    發(fā)表于 05-05 17:40 ?4次下載

    單片機(jī)的通信數(shù)據(jù)傳輸一些知識點(diǎn)資料說明

    單片機(jī)的通信數(shù)據(jù)傳輸一些知識點(diǎn)資料說明包括了(中斷發(fā)送,大小端,IEEE754浮點(diǎn)型格式,共用體,空閑中斷,環(huán)形隊(duì)列)
    發(fā)表于 06-04 17:52 ?2次下載
    單片機(jī)的通信數(shù)據(jù)傳輸<b class='flag-5'>一些</b><b class='flag-5'>知識點(diǎn)</b>資料說明

    DSP入門學(xué)習(xí)必看的一些知識點(diǎn)詳細(xì)概述

    本文檔的主要內(nèi)容詳細(xì)介紹的是DSP入門學(xué)習(xí)必看的一些知識點(diǎn)詳細(xì)資料概述。
    發(fā)表于 11-01 14:40 ?13次下載

    機(jī)智云入門知識點(diǎn)

    使用機(jī)智云的一些知識點(diǎn),個人備用。水平有限,若參考,請慎重。
    發(fā)表于 12-07 17:21 ?11次下載
    機(jī)智云入門<b class='flag-5'>知識點(diǎn)</b>

    Verilog邏輯設(shè)計(jì)的循環(huán)語句和運(yùn)算符

    “ 本文主要分享了在Verilog設(shè)計(jì)過程中一些經(jīng)驗(yàn)知識點(diǎn),主要包括循環(huán)語句(forever、repeat、while和for)、運(yùn)算符。”
    的頭像 發(fā)表于 03-15 11:41 ?4820次閱讀

    Verilog系統(tǒng)函數(shù)和邊沿檢測

    “ 本文主要分享了在Verilog設(shè)計(jì)過程中一些經(jīng)驗(yàn)知識點(diǎn),主要包括Verilog仿真時(shí)常用的系統(tǒng)任務(wù)、雙向端口的使用(inout)、邊沿
    的頭像 發(fā)表于 03-15 13:34 ?2112次閱讀

    高速電路設(shè)計(jì)常見的知識點(diǎn)

    為了方便初次接觸高速信號的朋友們能快速入門,并應(yīng)用到實(shí)際的電路設(shè)計(jì)。作者特地整理了高速電路設(shè)計(jì)中常見的一些知識點(diǎn),具有較強(qiáng)的工程性、實(shí)用性,能直接應(yīng)用到嵌入式硬件、手機(jī)等設(shè)計(jì)。(有
    的頭像 發(fā)表于 02-13 11:00 ?1279次閱讀

    介紹一些基礎(chǔ)的電力知識點(diǎn)

    電力是現(xiàn)代社會不可或缺的能源之,了解電力知識有助于我們更好地利用和管理能源資源,為可持續(xù)發(fā)展貢獻(xiàn)力量。本文將為您介紹一些基礎(chǔ)的電力知識點(diǎn),讓我們共同探索能源的無限潛力。
    的頭像 發(fā)表于 08-15 15:01 ?1213次閱讀