0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

通信協(xié)議IIC與SPI最全對(duì)比

STM32嵌入式開發(fā) ? 來源:STM32嵌入式開發(fā) ? 作者:STM32嵌入式開發(fā) ? 2022-04-13 11:18 ? 次閱讀

現(xiàn)今,在低端數(shù)字通信應(yīng)用領(lǐng)域,我們隨處可見IIC(Inter-Integrated Circuit)和 SPI(Serial Peripheral Interface)的身影。原因是這兩種通信協(xié)議非常適合近距離低速芯片間通信。Philips(for IIC)和Motorola(for SPI)出于不同背景和市場需求制定了這兩種標(biāo)準(zhǔn)通信協(xié)議。

IIC開發(fā)于1982年,當(dāng)時(shí)是為了給電視機(jī)內(nèi)的CPU和外圍芯片提供更簡易的互聯(lián)方式。電視機(jī)是最早的嵌入式系統(tǒng)之一,而最初的嵌入系統(tǒng)是使用內(nèi)存映射(memory-mapped I/O)的方式來互聯(lián)微控制器和外圍設(shè)備的。要實(shí)現(xiàn)內(nèi)存映射,設(shè)備必須并聯(lián)入微控制器的數(shù)據(jù)線和地址線,這種方式在連接多個(gè)外設(shè)時(shí)需大量線路和額外地址解碼芯片,很不方便并且成本高。

為了節(jié)省微控制器的引腳和和額外的邏輯芯片,使印刷電路板更簡單,成本更低,位于荷蘭的Philips實(shí)驗(yàn)室開發(fā)了“Inter-Integrated Circuit”,IIC或I2C ,一種只使用二根線接連所有外圍芯片的總線協(xié)議。最初的標(biāo)準(zhǔn)定義總線速度為100kbps。經(jīng)歷幾次修訂,主要是1995年的400kbps,1998的3.4Mbps。

有跡象表明,SPI總線首次推出是在1979年,Motorola公司將SPI總線集成在他們第一支改自68000微處理器的微控制器芯片上。SPI總線是微控制器四線的外部總線(相對(duì)于內(nèi)部總線)。與IIC不同,SPI沒有明文標(biāo)準(zhǔn),只是一種事實(shí)標(biāo)準(zhǔn),對(duì)通信操作的實(shí)現(xiàn)只作一般的抽象描述,芯片廠商與驅(qū)動(dòng)開發(fā)者通過data sheets和application notes溝通實(shí)現(xiàn)上的細(xì)節(jié)。

SPI總線介紹

對(duì)于有經(jīng)驗(yàn)的數(shù)字電子工程師來說,用SPI互聯(lián)兩支數(shù)字設(shè)備是相當(dāng)直觀的。SPI是一種四根信號(hào)線協(xié)議,如下圖。

SCLK:Serial Clock (output from master)。

MOSI;SIMO:Master Output,Slave Input(output from master),

MISO;SOMI:Master Input,Slave Output(output from slave)。

SS:Slave Select (active low,outputfrom master)。

4b6169ae-bad8-11ec-aa7f-dac502259ad0.jpg

SPI是單主設(shè)備(single-master)通信協(xié)議,這意味著總線中的只有一支中心設(shè)備能發(fā)起通信。當(dāng)SPI主設(shè)備想讀/寫從設(shè)備時(shí),它首先拉低從設(shè)備對(duì)應(yīng)的SS線(SS是低電平有效),接著開始發(fā)送工作脈沖到時(shí)鐘線上,在相應(yīng)的脈沖時(shí)間上,主設(shè)備把信號(hào)發(fā)到MOSI實(shí)現(xiàn)“寫”,同時(shí)可對(duì)MISO采樣而實(shí)現(xiàn)“讀”,如下圖。

4b78afb0-bad8-11ec-aa7f-dac502259ad0.jpg

SPI有四種操作模式:模式0、模式1、模式2和模式3.它們的區(qū)別是定義了在時(shí)鐘脈沖的哪條邊沿轉(zhuǎn)換(toggles)輸出信號(hào),哪條邊沿采樣輸入信號(hào),還有時(shí)鐘脈沖的穩(wěn)定電平值(即時(shí)鐘信號(hào)無效時(shí)是高還是低)。每種模式由一對(duì)參數(shù)刻畫,它們稱為時(shí)鐘極(clock polarity)CPOL與時(shí)鐘期(clock phase)CPHA。

主從設(shè)備必須使用相同的工作參數(shù)——SCLKCPOL和CPHA,才能正常工作。如果有多個(gè)從設(shè)備,并且它們使用了不同的工作參數(shù),那么主設(shè)備必須在讀寫不同從設(shè)備間重新配置這些參數(shù)。

SPI不規(guī)定最大傳輸速率,沒有地址方案;SPI也沒規(guī)定通信應(yīng)答機(jī)制,沒有規(guī)定流控制規(guī)則。事實(shí)上,SPI主設(shè)備甚至并不知道指定的從設(shè)備是否存在。這些通信控制都得通過SPI協(xié)議以外自行實(shí)現(xiàn)。例如,要用SPI連接一支“命令-響應(yīng)控制型”解碼芯片,則必須在SPI的基礎(chǔ)上實(shí)現(xiàn)更高級(jí)的通信協(xié)議。

SPI并不關(guān)心物理接口電氣特性,例如信號(hào)的標(biāo)準(zhǔn)電壓。在最初,大多數(shù)SPI應(yīng)用都是使用間斷性時(shí)鐘脈沖和以字節(jié)為單位傳輸數(shù)據(jù)的,但現(xiàn)在有很多變種實(shí)現(xiàn)了連續(xù)性時(shí)間脈沖和任意長度的數(shù)據(jù)幀。

IIC總線介紹

與SPI的單主設(shè)備不同,IIC是多主設(shè)備的總線,IIC沒有物理的芯片選擇信號(hào)線,沒有仲裁邏輯電路,只使用兩條信號(hào)線——serial data(SDA)和serial clock(SCL)。

IIC協(xié)議規(guī)定:

每一支IIC設(shè)備都有一個(gè)唯一的七位設(shè)備地址。

數(shù)據(jù)幀大小為8位的字節(jié)。

數(shù)據(jù)(幀)中的某些數(shù)據(jù)位,用于控制通信的開始、停止、方向(讀寫)和應(yīng)答機(jī)制。

IIC數(shù)據(jù)傳輸速率有標(biāo)準(zhǔn)模式(100kbps)、快速模式(400kbps)和高速模式(3.4Mbps),另外一些變種實(shí)現(xiàn)了低速模式(10kbps)和快速+模式(1Mbps)。

物理實(shí)現(xiàn)上,IIC總線由兩根信號(hào)線和一根地線組成。兩根信號(hào)線都是雙向傳輸?shù)模瑓⒖枷聢D。IIC協(xié)議標(biāo)準(zhǔn)規(guī)定發(fā)起通信的設(shè)備稱為主設(shè)備,主設(shè)備發(fā)起一次通信后,其它設(shè)備均為從設(shè)備。

4b97df7a-bad8-11ec-aa7f-dac502259ad0.jpg

IIC通信過程大概如下。首先,主設(shè)備發(fā)一個(gè)START信號(hào),這個(gè)信號(hào)就像對(duì)所有其它設(shè)備喊:請(qǐng)大家注意!然后其它設(shè)備開始監(jiān)聽總線以準(zhǔn)備接收數(shù)據(jù)。接著,主設(shè)備發(fā)送一個(gè)7位設(shè)備地址加一位的讀寫操作的數(shù)據(jù)幀。當(dāng)所設(shè)備接收數(shù)據(jù)后,比對(duì)地址自己是否目標(biāo)設(shè)備。如果比對(duì)不符,設(shè)備進(jìn)入等待狀態(tài),等待STOP信號(hào)的來臨;如果比對(duì)相符,設(shè)備會(huì)發(fā)送一個(gè)應(yīng)答信號(hào)——ACKNOWLEDGE作回應(yīng)。

當(dāng)主設(shè)備收到應(yīng)答后便開始傳送或接收數(shù)據(jù)。數(shù)據(jù)幀大小為8位,尾隨一位的應(yīng)答信號(hào)。主設(shè)備發(fā)送數(shù)據(jù),從設(shè)備應(yīng)答;相反主設(shè)備接數(shù)據(jù),主設(shè)備應(yīng)答。當(dāng)數(shù)據(jù)傳送完畢,主設(shè)備發(fā)送一個(gè)STOP信號(hào),向其它設(shè)備宣告釋放總線,其它設(shè)備回到初始狀態(tài)。

4baed0ea-bad8-11ec-aa7f-dac502259ad0.jpg

基于IIC總線的物理結(jié)構(gòu),總線上的START和STOP信號(hào)必定是唯一的。另外,IIC總線標(biāo)準(zhǔn)規(guī)定:SDA線的數(shù)據(jù)轉(zhuǎn)換必須在SCL線的低電平期,在SCL線的高電平期,SDA線的上數(shù)據(jù)是穩(wěn)定的。

4bc13064-bad8-11ec-aa7f-dac502259ad0.jpg

在物理實(shí)現(xiàn)上,SCL線和SDA線都是漏極開路(open-drain),通過上拉電阻外加一個(gè)電壓源。當(dāng)把線路接地時(shí),線路為邏輯0,當(dāng)釋放線路,線路空閑時(shí),線路為邏輯1?;谶@些特性,IIC設(shè)備對(duì)總線的操作僅有“把線路接地”——輸出邏輯0。

IIC總線設(shè)計(jì)只使用了兩條線,但相當(dāng)優(yōu)雅地實(shí)現(xiàn)任意數(shù)目設(shè)備間無縫通信,堪稱完美。我們?cè)O(shè)想一下,如果有兩支設(shè)備同時(shí)向SCL線和SDA線發(fā)送信息會(huì)出現(xiàn)什么情況。

基于IIC總線的設(shè)計(jì),線路上不可能出現(xiàn)電平?jīng)_突現(xiàn)象。如果一支設(shè)備發(fā)送邏輯0,其它發(fā)送邏輯1,那么線路看到的只有邏輯0。也就是說,如果出現(xiàn)電平?jīng)_突,發(fā)送邏輯0的始終是“贏家”。

總線的物理結(jié)構(gòu)亦允許主設(shè)備在往總線寫數(shù)據(jù)的同時(shí)讀取數(shù)據(jù)。這樣,任何設(shè)備都可以檢測(cè)沖突的發(fā)生。當(dāng)兩支主設(shè)備競爭總線的時(shí)候,“贏家”并不知道競爭的發(fā)生,只有“輸家”發(fā)現(xiàn)了沖突——當(dāng)它寫一個(gè)邏輯1,卻讀到0時(shí)——而退出競爭。

十位設(shè)備地址

任何IIC設(shè)備都有一個(gè)7位地址,理論上,現(xiàn)實(shí)中只能有127種不同的IIC設(shè)備。實(shí)際上,已有IIC的設(shè)備種類遠(yuǎn)遠(yuǎn)多于這個(gè)限制,在一條總線上出現(xiàn)相同的地址的IIC設(shè)備的概率相當(dāng)高。為了突破這個(gè)限制,很多設(shè)備使用了雙重地址——7位地址加引腳地址(external configuration pins)。IIC標(biāo)準(zhǔn)也預(yù)知了這種限制,提出10位的地址方案。

10位的地址方案對(duì)IIC協(xié)議的影響有兩點(diǎn):

第一,地址幀為兩個(gè)字節(jié)長,原來的是一個(gè)字節(jié)。

第二,第一個(gè)字節(jié)前五位最高有效位用作10位地址標(biāo)識(shí),約定是“11110”。

4bd6bdda-bad8-11ec-aa7f-dac502259ad0.jpg

除了10位地址標(biāo)識(shí),標(biāo)準(zhǔn)還預(yù)留了一些地址碼用作其它用途,如下表:

4bfcebc2-bad8-11ec-aa7f-dac502259ad0.jpg

時(shí)鐘拉伸

在IIC通信中,主設(shè)備決定了時(shí)鐘速度。因?yàn)闀r(shí)鐘脈沖信號(hào)是由主設(shè)備顯式發(fā)出的。但是,當(dāng)從設(shè)備沒辦法跟上主設(shè)備的速度時(shí),從設(shè)備需要一種機(jī)制來請(qǐng)求主設(shè)備慢一點(diǎn),這種機(jī)制稱為時(shí)鐘拉伸。而基于IIC結(jié)構(gòu)的特殊性,這種機(jī)制得到實(shí)現(xiàn)。當(dāng)從設(shè)備需要降低傳輸?shù)乃俣鹊臅r(shí)候,它可以按下時(shí)鐘線,逼迫主設(shè)備進(jìn)入等待狀態(tài),直到從設(shè)備釋放時(shí)鐘線,通信才繼續(xù)。

高速模式

原理上講,使用上拉電阻來設(shè)置邏輯1,會(huì)限制總線的最大傳輸速度。而速度是限制總線應(yīng)用的因素之一。這也說明為什么要引入高速模式(3.4Mbps)。在發(fā)起一次高速模式傳輸前,主設(shè)備必須先在低速的模式下(例如快速模式)發(fā)出特定的“High Speed Master”信號(hào)。為縮短信號(hào)的周期和提高總線速度,高速模式必須使用額外的I/O緩沖區(qū)。另外,總線仲裁在高速模式下可屏蔽掉。更多的信息請(qǐng)參與總線標(biāo)準(zhǔn)文檔。

IIC與SPI對(duì)比

我們來對(duì)比一下IIC和SPI的一些關(guān)鍵點(diǎn)。

1、總線拓?fù)浣Y(jié)構(gòu)信號(hào)路由硬件資源耗費(fèi)

IIC只需兩根信號(hào)線,而標(biāo)準(zhǔn)SPI至少四根信號(hào),如果有多個(gè)從設(shè)備,信號(hào)需要更多。一些SPI變種雖然只使用三根線——SCLK、SS和雙向的MISO/MOSI,但SS線還是要和從設(shè)備一對(duì)一根。另外,如果SPI要實(shí)現(xiàn)多主設(shè)備結(jié)構(gòu),總線系統(tǒng)需額外的邏輯和線路。用IIC構(gòu)建系統(tǒng)總線唯一的問題是有限的7位地址空間,但這個(gè)問題新標(biāo)準(zhǔn)已經(jīng)解決——使用10位地址。從第一點(diǎn)上看,IIC是明顯的大贏家。

2、數(shù)據(jù)吞吐傳輸速度

如果應(yīng)用中必須使用高速數(shù)據(jù)傳輸,那么SPI是必然的選擇。因?yàn)镾PI是全雙工,IIC的不是。SPI沒有定義速度限制,一般的實(shí)現(xiàn)通常能達(dá)到甚至超過10Mbps。IIC最高的速度也就快速+模式(1Mbps)和高速模式(3.4Mbps),后面的模式還需要額外的I/O緩沖區(qū),還并不是總是容易實(shí)現(xiàn)的。

3、優(yōu)雅性

IIC常被稱更優(yōu)雅于SPI。公正的說,筆者更傾向于認(rèn)為兩者同等優(yōu)雅和健壯。IIC的優(yōu)雅在于它的特色——用很輕盈的架構(gòu)實(shí)現(xiàn)了多主設(shè)備仲裁和設(shè)備路由。但是對(duì)使用的工程師來講,理解總線結(jié)構(gòu)更費(fèi)勁,而且總線的性能不高。

SPI的優(yōu)點(diǎn)在于它的結(jié)構(gòu)相當(dāng)?shù)闹庇^簡單,容易實(shí)現(xiàn),并且有很好擴(kuò)展性。SPI的簡單性不足稱其優(yōu)雅,因?yàn)橐肧PI搭建一個(gè)有用的通信平臺(tái),還需要在SPI之上構(gòu)建特定的通信協(xié)議軟件。也就是說要想獲得SPI特有而IIC沒有的特性——高速性能,工程師們需要付出更多的勞動(dòng)。另外,這種自定的工作是完全自由的,這也說明為什么SPI沒有官方標(biāo)準(zhǔn)。IIC和SPI都對(duì)低速設(shè)備通信提供了很好的支持,不過,SPI適合數(shù)據(jù)流應(yīng)用,而IIC更適合“字節(jié)設(shè)備”的多主設(shè)備應(yīng)用。

總結(jié)

在數(shù)字通信協(xié)議簇中,IIC和SPI常稱為“小”協(xié)議,相對(duì)Ethernet、USBSATA、PCI-Express等傳輸速度達(dá)數(shù)百上千兆字節(jié)每秒的總線。但是,我們不能忘記的是各種總線的用途是什么?!按蟆眳f(xié)議是用于系統(tǒng)外的整個(gè)系統(tǒng)之間通信的,“小”協(xié)議是用于系統(tǒng)內(nèi)各芯片間的通信,沒有跡象表明“大”協(xié)議有必要取代“小”協(xié)議。IIC和SPI的存在和流行體現(xiàn)了“夠用就好”的哲學(xué)?;貞?yīng)文首,IIC和SPI如此流行,它是任何一位嵌入式工程師必備的工具。

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 通信協(xié)議
    +關(guān)注

    關(guān)注

    28

    文章

    808

    瀏覽量

    40118
  • SPI總線
    +關(guān)注

    關(guān)注

    4

    文章

    101

    瀏覽量

    27525
  • 總線協(xié)議
    +關(guān)注

    關(guān)注

    0

    文章

    111

    瀏覽量

    14811

原文標(biāo)題:通信協(xié)議 IIC 與 SPI 最全對(duì)比

文章出處:【微信號(hào):c-stm32,微信公眾號(hào):STM32嵌入式開發(fā)】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    SPI通信協(xié)議的基本概念和工作模式

    SPI(Serial Peripheral Interface)通信協(xié)議,即串行外圍設(shè)備接口,是一種高速、全雙工、同步通信總線,由摩托羅拉公司提出并廣泛應(yīng)用。SPI以其高效的數(shù)據(jù)傳輸能
    的頭像 發(fā)表于 09-09 17:04 ?229次閱讀

    簡單認(rèn)識(shí)UART通信協(xié)議

    UART(Universal Asynchronous Receiver/Transmitter,通用異步收發(fā)傳輸器)通信協(xié)議是一種常見的串行通信協(xié)議,廣泛應(yīng)用于計(jì)算機(jī)、嵌入式系統(tǒng)、傳感器、無線通信
    的頭像 發(fā)表于 07-25 18:07 ?978次閱讀

    can的通信協(xié)議主要由什么完成

    CAN(Controller Area Network)是一種基于消息的通信協(xié)議,主要用于汽車和工業(yè)領(lǐng)域。它是一種局域網(wǎng)技術(shù),允許多個(gè)設(shè)備在同一網(wǎng)絡(luò)上進(jìn)行通信。CAN協(xié)議的主要特點(diǎn)包括高可靠性、實(shí)時(shí)
    的頭像 發(fā)表于 06-16 10:29 ?400次閱讀

    RS485通信協(xié)議的特性和應(yīng)用范圍

    隨著工業(yè)自動(dòng)化和物聯(lián)網(wǎng)技術(shù)的飛速發(fā)展,數(shù)據(jù)通信協(xié)議的重要性日益凸顯。RS485通信協(xié)議作為一種廣泛應(yīng)用于工業(yè)自動(dòng)化領(lǐng)域的串行通信協(xié)議,其穩(wěn)定性和可靠性得到了廣泛的認(rèn)可。本文將對(duì)RS485通信協(xié)
    的頭像 發(fā)表于 05-23 16:27 ?1181次閱讀

    SPI和I2C通信協(xié)議:應(yīng)用與區(qū)別

    本文深入解析了SPI和I2C這兩種通信協(xié)議的特點(diǎn)、工作原理和應(yīng)用場景。SPI適用于高速數(shù)據(jù)傳輸,常用于存儲(chǔ)器芯片和顯示器驅(qū)動(dòng)等領(lǐng)域;I2C適用于低速控制和傳感器數(shù)據(jù)傳輸,常用于溫度傳感器和ADC
    的頭像 發(fā)表于 04-22 16:45 ?923次閱讀

    UART串口通信協(xié)議是什么?

    UART (Universal Asynchronous Receiver/Transmitter) 是一種通信接口協(xié)議,用于實(shí)現(xiàn)串口通信。它是一種簡單的、可靠的、廣泛應(yīng)用的串口通信協(xié)議
    的頭像 發(fā)表于 03-19 17:26 ?955次閱讀

    FPGA實(shí)現(xiàn)IIC協(xié)議的設(shè)計(jì)

    今天給大家?guī)淼氖?b class='flag-5'>IIC通信,IIC協(xié)議應(yīng)用非常廣泛,例如與MPU6050進(jìn)行通信,配置OV5640攝像頭、驅(qū)動(dòng)OLED屏幕等等,都需要使用
    的頭像 發(fā)表于 03-04 10:49 ?1044次閱讀
    FPGA實(shí)現(xiàn)<b class='flag-5'>IIC</b><b class='flag-5'>協(xié)議</b>的設(shè)計(jì)

    串行通信協(xié)議的帶寬是指什么?

    SPI和I2C等串行通信協(xié)議,兩者帶寬不一樣,這里的帶寬是指什么,傳輸速率還是其他什么?如果要求很高的傳輸速率,那么要求發(fā)送端要能很快的發(fā)送數(shù)據(jù),要有很短的上升沿下降沿時(shí)間,高低位電平也維持很短
    發(fā)表于 02-08 18:04

    TLT507-MQTT通信協(xié)議案例

    TLT507-MQTT通信協(xié)議案例
    的頭像 發(fā)表于 01-26 10:06 ?629次閱讀
    TLT507-MQTT<b class='flag-5'>通信協(xié)議</b>案例

    RK3568-MQTT通信協(xié)議案例

    RK3568-MQTT通信協(xié)議案例
    的頭像 發(fā)表于 01-19 15:31 ?1551次閱讀
    RK3568-MQTT<b class='flag-5'>通信協(xié)議</b>案例

    工業(yè)控制通信協(xié)議的報(bào)文幀分別是什么樣的?

    工業(yè)控制常用到不同的通信協(xié)議,MODBUS,CAN,CANOPEN,PROFIBUS,PROFINET,ETNERNET,ETHERCAT,SPI,PPI,工業(yè)以太網(wǎng)等等,協(xié)議,就是兩臺(tái)設(shè)備交換數(shù)據(jù)
    發(fā)表于 01-19 14:46

    有關(guān)通信協(xié)議時(shí)一些問題?

    抗干擾就加強(qiáng)濾波,要求輸入信號(hào)隔離就加隔離芯片或其他方式,總之這一段電路不應(yīng)該太夏雜吧,遠(yuǎn)不如芯片內(nèi)部的信號(hào)處理電路?最后請(qǐng)推薦幾本有關(guān)串行通信協(xié)議方面硬件方面的書,還有一個(gè)問題,這些通信協(xié)議的設(shè)計(jì)工作應(yīng)該在哪些課程或哪些書上?
    發(fā)表于 01-14 00:58

    SPI通信協(xié)議原理是什么

    Peripheral Interface,也就是串行外設(shè)接口,同樣是一種通信協(xié)議,在很多芯片中都有集成。 相比之前學(xué)習(xí)的UART串口通信,他多了兩根線,其中一個(gè)是時(shí)鐘信號(hào),另一個(gè)是設(shè)備使能信號(hào),用來控制設(shè)備是否
    的頭像 發(fā)表于 11-24 17:37 ?833次閱讀
    <b class='flag-5'>SPI</b><b class='flag-5'>通信協(xié)議</b>原理是什么

    IIC同步通信協(xié)議#工作原理大揭秘

    通信協(xié)議
    油潑辣子
    發(fā)布于 :2023年11月16日 17:26:50

    IIC總線和SPI總線的比較

    通信協(xié)議非常適合近距離低速芯片間通信。Philips(for IIC)和Motorola(for SPI) 出于不同背景和市場需求制定了這兩種標(biāo)準(zhǔn)
    發(fā)表于 09-26 09:30 ?1804次閱讀
    <b class='flag-5'>IIC</b>總線和<b class='flag-5'>SPI</b>總線的比較