0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何實現(xiàn)FPGA中的除法運算

FPGA之家 ? 來源:FPGA之家 ? 作者:FPGA之家 ? 2022-04-27 09:16 ? 次閱讀

FPGA中的硬件邏輯與軟件程序的區(qū)別,相信大家在做除法運算時會有深入體會。若其中一個操作數(shù)為常數(shù),可通過簡單的移位與求和操作代替,但用硬件邏輯完成兩變量間除法運算會占用較多的資源,電路結(jié)構(gòu)復(fù)雜,且通常無法在一個時鐘周期內(nèi)完成。因此FPGA實現(xiàn)除法運算并不是一個“/”號可以解決的。

好在此類基本運算均有免費的IP核使用,本人使用的VIVADO 2016.4開發(fā)環(huán)境提供的divider gen IP核均采用AXI總線接口,已經(jīng)不再支持native接口。故做除法運算的重點從設(shè)計算法電路轉(zhuǎn)變成了調(diào)用AXI總線IP核以及HDL中有符號數(shù)的表示問題,極大降低了開發(fā)難度。以下就上述兩個方面進行探討。

VerilogHDL中默認數(shù)據(jù)類型為無符號數(shù),因此需要數(shù)學(xué)運算的場合必須要用“signed”聲明,如:reg signed [8-1:0] signal_a;wire signed [32-1:0] signal_b;需要注意一點,F(xiàn)PGA將所有有符號數(shù)視為二進制補碼形式,運算的結(jié)果同樣為補碼。再來看看除法器IP核配置界面。

273b103a-c1da-11ec-bce3-dac502259ad0.png

27592b60-c1da-11ec-bce3-dac502259ad0.png

總共就兩頁,非常簡單。需要重點關(guān)注的有三個地方:1 算法實現(xiàn)結(jié)構(gòu)(algorithm type)2 被除數(shù)與除數(shù)的位寬 3 第二頁flow control模式。現(xiàn)來一一說明:

就算法結(jié)構(gòu)來說官方文檔pg151 LogiCORE IP Product Guide中說得很詳細:LUTMult結(jié)構(gòu)操作數(shù)最好不要高于12bit,且充分利用DSP slice和BRAM以降低對FPGA 邏輯資源的消耗。Radix-2操作數(shù)不要超過16bit,且利用資源與LUTMulti相反,大量使用register和LUT從而將DSP slice和BRAM資源節(jié)省出來用在別的地方。最后一個High Radix結(jié)構(gòu)支持超過16bit的大位寬操作數(shù),利用DSPslice等專用硬件資源。根據(jù)自己的需求選擇即可。

位寬問題沒什么好說的,需要特別注意保留位寬滿足計算范圍,也就是運算之前的“補碼符號位擴展”。至于flow control 模式與接口和AXI總線有關(guān)。

277277f0-c1da-11ec-bce3-dac502259ad0.png

接口劃分得十分清晰,被除數(shù) 除數(shù)和商通道以及必要的時鐘和復(fù)位邏輯接口。每個AXI總線通道總是包括tdata tuser tlast 和握手信號tvalid tready,其中tuser為附加信息,tlast表示流模式下最后一個數(shù)據(jù),相當(dāng)于數(shù)據(jù)包中的包尾處。數(shù)據(jù)傳輸僅在tvalid和tready同時拉高時有效并更新。

279c1038-c1da-11ec-bce3-dac502259ad0.png

而Non Blocking Mode在除法運算時較常用,一句話概括:IP核接口不帶有FIFO緩存,輸出通道數(shù)據(jù)必須被下游模塊實時處理。上圖就明白了:

27aace3e-c1da-11ec-bce3-dac502259ad0.png

這一模式實際上是對AXI總線的簡化,很多場合下并不完全需要AXI總線強大的流控功能,特別是在AXI總線模塊的上下游均為可進行實時處理的FPGA邏輯電路的情況下。AXI總線的另一個特點就是data packing,需要將不是8bit倍數(shù)位寬的數(shù)據(jù)高位填充從而確保數(shù)據(jù)最小單位是1byte,具體填充方式有所不同。很容易想到,這樣的data packing 功能對SOC中PL與PS部分的交互是十分友好的。

總體來說,在FPGA中做基本的數(shù)學(xué)運算沒什么難度,即使是指數(shù) 對數(shù) 開根號之類的復(fù)雜運算也有浮點IP Core的支持。本人后續(xù)用到復(fù)雜算法時,會采用HLS方式開發(fā),僅用于算法驗證,歡迎關(guān)注。

原文標(biāo)題:FPGA中的除法運算及初識AXI總線

文章出處:【微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598886
  • 除法運算
    +關(guān)注

    關(guān)注

    1

    文章

    5

    瀏覽量

    7630
  • AXI總線
    +關(guān)注

    關(guān)注

    0

    文章

    66

    瀏覽量

    14215

原文標(biāo)題:FPGA中的除法運算及初識AXI總線

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    基于FPGA除法器純邏輯設(shè)計案例

    除法運算。很多人覺得不就是除法嘛,直接打上/即可,但是,FPGA是不能正確綜合這個除法器的,綜合的結(jié)果只是一個固定數(shù)值,而不像其他微處理器。
    的頭像 發(fā)表于 06-17 10:17 ?7185次閱讀
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>除法</b>器純邏輯設(shè)計案例

    除法運算

    標(biāo)志實現(xiàn)不可恢復(fù)的加-減除法運算,運行的結(jié)果存在R3。如果要做有符號除法,首先應(yīng)執(zhí)行DIVS一次,從而得到商的符號位。然后多次執(zhí)行DIVQ
    發(fā)表于 09-21 09:26

    基于ARM的除法運算優(yōu)化策略

    指令流水線的優(yōu)化、針對寄存器分配進行的優(yōu)化等。   ARM在硬件上不支持除法指令,編譯器是通過調(diào)用C庫函數(shù)來實現(xiàn)除法運算的,有許多不同類型的除法
    發(fā)表于 07-14 14:48

    實現(xiàn)兩個二進制除法運算

    實現(xiàn)兩個二進制除法運算,并在八個七段數(shù)碼管上進行顯示實現(xiàn)兩個二進制除法運算,并在八個七段數(shù)碼管上
    發(fā)表于 11-01 20:34

    FPGA除法運算及初識AXI總線

    FPGA的硬件邏輯與軟件程序的區(qū)別,相信大家在做除法運算時會有深入體會。若其中一個操作數(shù)為常數(shù),可通過簡單的移位與求和操作代替,但用硬件邏輯完成兩變量間
    發(fā)表于 08-13 09:27

    FPGA怎么實現(xiàn)除法操作?

    FPGA,我們怎么實現(xiàn)除法操作?最簡單的方法當(dāng)然是調(diào)IP Core。在Divider Generator的IP Core,我們可以選擇
    發(fā)表于 12-24 16:06

    FPGA實現(xiàn)高精度快速除法

    FPGA實現(xiàn)高精度快速除法
    發(fā)表于 07-17 16:33 ?25次下載

    除法和開方運算FPGA串行實現(xiàn)

    高精度的乘除法和開方等數(shù)學(xué)運算FPGA實現(xiàn)往往要消耗大量專用乘法器和邏輯資源。在資源敏感而計算時延要求較低的應(yīng)用
    發(fā)表于 07-28 18:05 ?37次下載

    除法器對數(shù)運算電路的應(yīng)用

    除法器對數(shù)運算電路的應(yīng)用 由對數(shù)電路實現(xiàn)除法運算的數(shù)學(xué)原理是:
    發(fā)表于 04-24 16:07 ?2639次閱讀
    <b class='flag-5'>除法</b>器對數(shù)<b class='flag-5'>運算</b>電路的應(yīng)用

    ARM中用乘法代替除法的優(yōu)化

    FPGA實現(xiàn)鐵軌檢測算法設(shè)計_本文將闡述如何用乘法運算代替除法運算,以及如何使除法的次數(shù)最少化。
    發(fā)表于 10-05 16:37 ?1.1w次閱讀
    ARM中用乘法代替<b class='flag-5'>除法</b>的優(yōu)化

    一文讀懂FPGA除法運算及初識AXI總線

    FPGA的硬件邏輯與軟件程序的區(qū)別,相信大家在做除法運算時會有深入體會。若其中一個操作數(shù)為常數(shù),可通過簡單的移位與求和操作代替,但用硬件邏輯完成兩變量間
    發(fā)表于 05-18 01:15 ?4564次閱讀

    基于StratixⅡEP2S30484C5芯片的乘除法和開方運算算法的實現(xiàn)

    FPGA的開發(fā)應(yīng)用,大多數(shù)EDA軟件(后面以altera QuartursII為例)都提供乘除法、開方運算的設(shè)計向?qū)?,或提供LPM宏函數(shù),但普遍占用資源量大。而在許多信號處理應(yīng)用
    發(fā)表于 07-29 17:48 ?1319次閱讀
    基于StratixⅡEP2S30484C5芯片的乘<b class='flag-5'>除法</b>和開方<b class='flag-5'>運算</b>算法的<b class='flag-5'>實現(xiàn)</b>

    FPGA常用運算模塊-除法

    本文是本系列的第四篇,本文主要介紹FPGA常用運算模塊-除法器,xilinx提供了相關(guān)的IP以便于用戶進行開發(fā)使用。
    的頭像 發(fā)表于 05-22 16:20 ?2935次閱讀
    <b class='flag-5'>FPGA</b>常用<b class='flag-5'>運算</b>模塊-<b class='flag-5'>除法</b>器

    FPGA基于線性迭代法的除法器設(shè)計

    FPGA實現(xiàn)除法的方法有幾種,比如直接用/來進行除法運算,調(diào)用IP核進行除法
    的頭像 發(fā)表于 07-04 10:03 ?1068次閱讀
    <b class='flag-5'>FPGA</b>基于線性迭代法的<b class='flag-5'>除法</b>器設(shè)計

    fpga實現(xiàn)加法和減法運算的方法是什么

    FPGA實現(xiàn)加法和減法運算非常簡單,實現(xiàn)乘法和除法可以用IP,那實現(xiàn)對數(shù)和指數(shù)
    發(fā)表于 08-05 09:37 ?1296次閱讀
    <b class='flag-5'>fpga</b><b class='flag-5'>實現(xiàn)</b>加法和減法<b class='flag-5'>運算</b>的方法是什么