0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀(guān)看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

vcs學(xué)習(xí)筆記(常用選項(xiàng)/仿真流程/代碼覆蓋率/綜合后仿真/圖一樂(lè)技巧)

路科驗(yàn)證 ? 來(lái)源:數(shù)字ICer ? 作者:數(shù)字ICer ? 2022-05-23 16:04 ? 次閱讀

本節(jié)主要介紹:
vcs常用選項(xiàng)
vcs仿真流程
vcs代碼覆蓋率
vcs綜合后仿真
圖一樂(lè)技巧

VCS是編譯型verilog仿真器,VCS先將verilog/systemverilog文件轉(zhuǎn)化為C文件,在linux下編譯生成的可執(zhí)行文./simv即可得到仿真結(jié)果。

bc421940-da5c-11ec-ba43-dac502259ad0.png

vcs編譯后,生成可執(zhí)行二進(jìn)制文件simv:執(zhí)行./simv進(jìn)行仿真;

vcs常用選項(xiàng)

  • vcs -help :列出所有vcs編譯運(yùn)行選項(xiàng)
  • -Mupdate :增量編譯
  • -R:編譯后立即執(zhí)行仿真
  • -l:輸出編譯log的文件
  • -sverilog:支持systemverilog
  • +v2k:支持2001 verilog標(biāo)準(zhǔn)
  • -f:指定包含文件列表的filelist
  • -o:修改可執(zhí)行文件simv文件名
  • -full64:支持64位模式下的編譯仿真
  • -fsdb:dump fsdb波形
  • -ucli:在UCLI命令行模式下執(zhí)行simv
  • -gui :DVE 界面運(yùn)行
  • -work library:將設(shè)計(jì)庫(kù)名稱(chēng)映射到接收vlogan輸出的邏輯庫(kù)名稱(chēng)work
  • -v lib_flie:搜索指定lib庫(kù)文件
  • -y lib_dir:搜索指定lib庫(kù)路徑
  • +libext+ext:搜索具有指定文件擴(kuò)展名的文件,如+libext+.v +.sv
  • +incdir+directory:搜索指定include文件路徑
  • +define+macro:使用源代碼中`ifdef所定義的宏或者define源代碼中的宏
  • -parameters filename:將filename文件中指定的參數(shù)更改為此時(shí)指定的值
  • -timescale=1ns/1ns:設(shè)置仿真精度
  • -debug、debug_all、debug_pp:打開(kāi)debug開(kāi)關(guān)

vcs仿真流程

編寫(xiě)makefie腳本運(yùn)行仿真:

bc5ce7de-da5c-11ec-ba43-dac502259ad0.pngmakefie

執(zhí)行下面編譯仿真:

makevcs
makesim

1.DVE圖形界面打開(kāi)

在編譯時(shí),使用 +vpdfile+filename 可以更改生成 VPD 文件的文件名,默認(rèn)為vpdplus.vpd。

makefile中添加:

ALL_DEFINE=+define+DUMP_VPD
VPD_NAME=+vpdfile+simv.vpd

仿真文件tb.v中添加:

`ifdefDUMP_VPD
initialbegin
$display("DumpVPDwave!");
$vcdpluson();
//$vcdpluson(0,tb);//記錄tb及其所有子模塊的波形。
//$vcdpluson(1,tb );//只記錄tb層的波形
//$vcdpluson(2,tb );//記錄tb層和tb下一層的波形
end
`endif

在仿真完成后,生成了simv.vpd 這個(gè)文件,這個(gè)文件記錄了仿真過(guò)程中所有信號(hào)的波形,

可以使用dve打開(kāi):

dve-vpdsimv.vpd&
bc7f759c-da5c-11ec-ba43-dac502259ad0.png

選中所有信號(hào) --> 右鍵Add to Waves --> New Wave View

bcb9d3c2-da5c-11ec-ba43-dac502259ad0.png

2.verdi圖形界面打開(kāi)

通常使用VCS生成fsdb格式的波形文件,將其導(dǎo)入另一個(gè)軟件Verdi查看波形,代替DVE進(jìn)行聯(lián)合仿真;

vcs選項(xiàng)加上-fsdb,仿真文件tb.v中添加:

initialbegin
$display("Dumpfsdbwave!");
$fsdbDumpfile("tb.fsdb");
$fsdbDumpvars;
end

仿真完成后執(zhí)行下面命令打開(kāi)verdi:

makeverdi

推薦查看verdi實(shí)用技巧fsdb實(shí)用技巧

代碼覆蓋率

在一個(gè)芯片驗(yàn)證的工程中,通常以代碼覆蓋率和功能覆蓋率來(lái)體現(xiàn)驗(yàn)證是否完備;

功能覆蓋率就是檢查設(shè)計(jì)的功能是否完善,需要考慮很多不同的情況,是使用SV的重點(diǎn)內(nèi)容。

代碼覆蓋率是檢查代碼是否存在冗余,檢查所有的代碼是否都已經(jīng)執(zhí)行,包括:行,狀態(tài)機(jī),翻轉(zhuǎn),條件,分支覆蓋率等,這里只討論代碼覆蓋率。

VCS在統(tǒng)計(jì)代碼覆蓋率的過(guò)程中,需要在編譯和仿真命令上添加對(duì)應(yīng)的開(kāi)關(guān)選項(xiàng),生成.vdb文件記錄覆蓋率情況。

再使用dve打開(kāi)該文件進(jìn)行查看覆蓋率。

代碼覆蓋率選項(xiàng)

bccedf10-da5c-11ec-ba43-dac502259ad0.png
  • -cm :打開(kāi)對(duì)應(yīng)類(lèi)型覆蓋率,例如 -cm cond+tgl+lin+fsm+path為統(tǒng)計(jì)所有覆蓋率。
  • -cm_name:統(tǒng)計(jì)覆蓋率文件名字。
  • -cm_dir:指定生成.vdb文件目錄。
  • -cm_log+filename.log:記錄仿真過(guò)程中l(wèi)og信息。
  • -cm_nocasedef: 在統(tǒng)計(jì)case語(yǔ)句的條件覆蓋率時(shí),不考慮default條件未達(dá)到的情況。
  • -cm_hier xxx.cfg:通過(guò).cfg文件選擇要查看覆蓋率的模塊或文件。

通過(guò)DVE查看覆蓋率(方法1)

仿真完成后執(zhí)行下面命令dve -covdir *.vdb &打開(kāi)dve查看覆蓋率:

makedve_cov

代碼覆蓋到的為綠色,沒(méi)有覆蓋到的為紅色:

bceada26-da5c-11ec-ba43-dac502259ad0.png

通過(guò)URG查看覆蓋率(方法2)

可以以文本格式或者網(wǎng)頁(yè)格式顯示覆蓋率;

bd33cf4c-da5c-11ec-ba43-dac502259ad0.png

或者:

urg-dir*.vdb-reporturgReport

進(jìn)入urgReport目錄,執(zhí)行下面命令打開(kāi)網(wǎng)頁(yè)版,查看覆蓋率報(bào)告

firefox*.html
bd54e2ae-da5c-11ec-ba43-dac502259ad0.png

綜合后仿真

推薦查看綜合與時(shí)序分析

推薦查看后仿及反標(biāo)

vcs門(mén)級(jí)網(wǎng)表仿真

當(dāng)RTL功能仿真通過(guò)之后,DC工具中進(jìn)行邏輯綜合,在邏輯綜合完成之后,需要對(duì)綜合生成的網(wǎng)表再進(jìn)行仿真驗(yàn)證。

綜合后包含電路的實(shí)際信息,如映射的門(mén)電路信息、寄生參數(shù)、.v的網(wǎng)表、SDF標(biāo)準(zhǔn)延時(shí)信息、SDC約束、工作條件等信息。

后仿選項(xiàng)

后仿不需要的選項(xiàng)

帶時(shí)序的后仿,一定要注意仿真器是否關(guān)閉了notimingcheck和nospecify的選項(xiàng)。

如果有-nospecify,那么SDF中的時(shí)序信息就反標(biāo)不到仿真模型中;

如果有-notimingcheck,那么后仿過(guò)程中就不檢查時(shí)序違例,后仿就失去了意義。

后仿添加選項(xiàng)

  • +neg_tchk:若要使用負(fù)延時(shí)檢查,在編譯后仿時(shí)必須包含+neg_tchk選項(xiàng)。如果省略此選項(xiàng),VCS將所有負(fù)延遲更改為0。
  • -negdelay:用于SDF文件中有負(fù)延遲,如果省略此選項(xiàng),VCS將所有負(fù)延遲更改為0。

sdf_annotate反標(biāo)

使用$sdf_annotate將SDF文件反標(biāo)到網(wǎng)表中:

$sdf_annotate("sdf_file"[,module_instance][,"sdf_configfile"][,"sdf_logfile"][,"mtm_spec"][,"scale_factors"][,"scale_type"]);

在tb中加載sdf文件,指定反標(biāo)模塊:

`ifdefGLS_SIM
initialbegin
$sdf_annotate("../../netlist_sim/sdf/TOP.sdf",tb.U_TOP,,"sdf.log","TYPICAL");
end
`endif

修改makefie腳本運(yùn)行仿真:

LIB_NET_FILE指定的是基本的工藝庫(kù)單元,因?yàn)榫W(wǎng)表文件netlist_TOP.v中包括綜合后的由門(mén)電路和觸發(fā)器等例化形成的verilog文件,所以需要基本的工藝庫(kù)單元。

bd7860da-da5c-11ec-ba43-dac502259ad0.pngmakefile

rtl仿真和netlist仿真結(jié)果對(duì)比:

可以看到netlist仿真的輸出信號(hào)存在一些毛刺及延遲,與理想的沒(méi)有延遲信息的rtl仿真不同。

bde84c38-da5c-11ec-ba43-dac502259ad0.pngrtl仿真be13ac5c-da5c-11ec-ba43-dac502259ad0.pngnetlist仿真

圖一樂(lè)技巧

display打印彩色字/背景

可在仿真中加入顏色顯示case的情況,如:

be64ab52-da5c-11ec-ba43-dac502259ad0.pngbe8b1ed6-da5c-11ec-ba43-dac502259ad0.png

紅色:前景色31,背景色41:

$display("33[31;41mHelloerror!33[0m");

綠色:前景色32,背景色42:

$display("33[32;42mHellopass!33[0m");

黃色:前景色33,背景色43:

$display("33[33;43mHellowarning!33[0m");

涉及的顏色:

bebb9b7e-da5c-11ec-ba43-dac502259ad0.png涉及的顏色

原文標(biāo)題:vcs實(shí)用技巧

文章出處:【微信公眾號(hào):路科驗(yàn)證】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

審核編輯:湯梓紅
聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀(guān)點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • Linux
    +關(guān)注

    關(guān)注

    87

    文章

    11123

    瀏覽量

    207905
  • 仿真器
    +關(guān)注

    關(guān)注

    14

    文章

    1008

    瀏覽量

    83437
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109713
  • VCS
    VCS
    +關(guān)注

    關(guān)注

    0

    文章

    78

    瀏覽量

    9537

原文標(biāo)題:vcs實(shí)用技巧

文章出處:【微信號(hào):Rocker-IC,微信公眾號(hào):路科驗(yàn)證】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    文詳解Modelsim代碼覆蓋率功能使用

    )、toggle(信號(hào)翻轉(zhuǎn))、fsm(有限狀態(tài)機(jī))等多種覆蓋率情況。 1、編譯選項(xiàng)(compile option): 在Modelsim的Workspace里選中需要查看代碼覆蓋率的文
    的頭像 發(fā)表于 12-09 11:45 ?5507次閱讀

    怎么用Vivado做覆蓋率分析

    在做仿真的時(shí)候往往會(huì)去做代碼覆蓋率和功能覆蓋率的分析,來(lái)保證仿真是做的比較充分完備的。
    的頭像 發(fā)表于 01-03 12:34 ?1233次閱讀
    怎么用Vivado做<b class='flag-5'>覆蓋率</b>分析

    嵌入式仿真平臺(tái)SkyEye的覆蓋率分析相關(guān)資料下載

    代碼執(zhí)娜行覆蓋情況的功能,來(lái)檢測(cè)代碼中未執(zhí)行覆蓋情況。在覆蓋率分析時(shí)需要分析嵌入式軟件的指令覆蓋率
    發(fā)表于 12-17 07:27

    關(guān)于SpinalHDL中的驗(yàn)證覆蓋率收集簡(jiǎn)單說(shuō)明

    通過(guò)指定withCoverage選項(xiàng)來(lái)開(kāi)啟覆蓋率收集。在《SpinalHDL—基于Scala的測(cè)試框架》文中我們拍完仿真測(cè)試用例在相應(yīng)目
    發(fā)表于 06-24 15:56

    基于linux系統(tǒng)的VCS使用及仿真說(shuō)明

    代碼使用為例,進(jìn)行VCS使用說(shuō)明。簡(jiǎn)要說(shuō)明下該串口功能。該串口工作在波特為115200,無(wú)奇偶檢驗(yàn)位。位停止位。當(dāng)使能信號(hào)有效,就將8位數(shù)據(jù)發(fā)送出去,輸出結(jié)束
    發(fā)表于 07-18 16:18

    重點(diǎn)厘清覆蓋率相關(guān)的概念以及在芯片開(kāi)發(fā)流程中跟覆蓋率相關(guān)的事項(xiàng)

    。代碼覆蓋率種軟件和硬件開(kāi)發(fā)都通用的手段,通過(guò)在仿真程序運(yùn)行的過(guò)程中記錄統(tǒng)計(jì)數(shù)據(jù),來(lái)說(shuō)明代碼中哪些語(yǔ)句被分別執(zhí)行了多少次。通過(guò)對(duì)
    發(fā)表于 09-14 11:57

    Verilog代碼覆蓋率檢查

    Verilog代碼覆蓋率檢查是檢查驗(yàn)證工作是否完全的重要方法,代碼覆蓋率(codecoverge)可以指示Verilog代碼描述的功能有多少
    發(fā)表于 04-29 12:35 ?8245次閱讀

    嵌入式代碼覆蓋率統(tǒng)計(jì)方法和經(jīng)驗(yàn)

    )是軟件測(cè)試中的種度量,描述程式中源代碼被測(cè)試的比例和程度,所得比例稱(chēng)為代碼覆蓋率。 ? 在進(jìn)行代碼測(cè)試時(shí),常常使用
    的頭像 發(fā)表于 01-06 15:06 ?3013次閱讀
    嵌入式<b class='flag-5'>代碼</b><b class='flag-5'>覆蓋率</b>統(tǒng)計(jì)方法和經(jīng)驗(yàn)

    統(tǒng)計(jì)嵌入式代碼覆蓋率的方法和經(jīng)驗(yàn)

    )是軟件測(cè)試中的種度量,描述程式中源代碼被測(cè)試的比例和程度,所得比例稱(chēng)為代碼覆蓋率。 在進(jìn)行代碼測(cè)試時(shí),常常使用
    的頭像 發(fā)表于 03-29 11:58 ?1804次閱讀
    統(tǒng)計(jì)嵌入式<b class='flag-5'>代碼</b><b class='flag-5'>覆蓋率</b>的方法和經(jīng)驗(yàn)

    使用VCS兩種仿真flow的基本步驟

    的design,并且還提供了仿真和調(diào)試功能來(lái)驗(yàn)證design,這些特性提供了源代碼級(jí)debug和仿真結(jié)果。支持原生測(cè)試平臺(tái)、SystemVerilog、驗(yàn)證規(guī)劃、
    的頭像 發(fā)表于 05-07 14:20 ?4604次閱讀

    覆蓋率的Verilog代碼的編寫(xiě)技巧

    設(shè)計(jì)工程師需要關(guān)心的主要有行覆蓋率(Block),條件覆蓋率(Expression),翻轉(zhuǎn)覆蓋率(Toggle),狀態(tài)機(jī)覆蓋率。本文從ASIC設(shè)計(jì)的角度上來(lái)討論,如何寫(xiě)出高
    的頭像 發(fā)表于 05-26 17:30 ?4024次閱讀

    淺談VCS的兩種仿真flow

    幾乎所有的芯片設(shè)計(jì)、芯片驗(yàn)證工程師,每天都在和VCS打交道,但是由于驗(yàn)證環(huán)境的統(tǒng)化管理,般將不同的編譯仿真選項(xiàng)集成在
    的頭像 發(fā)表于 01-10 11:20 ?3255次閱讀

    EDA仿真驗(yàn)證環(huán)境中的激勵(lì)、檢查和覆蓋率

    下圖是個(gè)典型的EDA仿真驗(yàn)證環(huán)境,其中主要的組件就是激勵(lì)生成、檢查和覆蓋率收集。
    的頭像 發(fā)表于 04-15 10:13 ?1541次閱讀

    代碼覆蓋率記錄

    為確保具體的產(chǎn)品(例如,醫(yī)療或航空電子市場(chǎng))質(zhì)量合格, 通常需要提供語(yǔ)句覆蓋與判定覆蓋認(rèn)證證明。對(duì)于各種嵌 入式系統(tǒng),規(guī)范要求高度優(yōu)化的代碼需要實(shí)時(shí)測(cè)試。禁止 代碼插裝和運(yùn)行時(shí)篡
    發(fā)表于 11-03 11:02 ?0次下載
    <b class='flag-5'>代碼</b><b class='flag-5'>覆蓋率</b>記錄

    VCS 仿真option 解析

    VCS仿真選項(xiàng)分編譯(compile-time)選項(xiàng)和運(yùn)行(run-time)選項(xiàng)。編譯選項(xiàng)
    的頭像 發(fā)表于 01-06 10:19 ?1873次閱讀