0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

重新審視基于FPGA的原型設(shè)計(jì)

星星科技指導(dǎo)員 ? 來源:嵌入式計(jì)算設(shè)計(jì) ? 作者:Frank Schirrmeister ? 2022-06-09 16:39 ? 次閱讀

物聯(lián)網(wǎng)跨越多個(gè)應(yīng)用領(lǐng)域,設(shè)計(jì)團(tuán)隊(duì)的要求可能因最終應(yīng)用領(lǐng)域而異。雖然功率、性能和成本是電子元件硅設(shè)計(jì)中要滿足的標(biāo)準(zhǔn)優(yōu)先事項(xiàng),但汽車和航空航天/國防等應(yīng)用領(lǐng)域?qū)踩蕴砑拥皆O(shè)計(jì)優(yōu)先事項(xiàng)列表中,而在其他領(lǐng)域,安全性是關(guān)鍵或現(xiàn)場(chǎng)可升級(jí)性需要被考慮。

驗(yàn)證、原型設(shè)計(jì)和軟件開發(fā)是跨這些領(lǐng)域和設(shè)計(jì)要求的共同主題。為了允許嵌入式軟件開發(fā),經(jīng)典的早期驗(yàn)證技術(shù)(如模擬)通常被認(rèn)為太慢,而使用 QEMU 等虛擬平臺(tái)的抽象有時(shí)被認(rèn)為不夠準(zhǔn)確。

長期以來,基于 FPGA 的原型設(shè)計(jì)一直是硅前軟件開發(fā)的首選平臺(tái)。與它的老大哥硬件仿真相比,在 Cadence Palladium Z1 企業(yè)仿真平臺(tái)等工具中,它的執(zhí)行性能從 MHz 級(jí)擴(kuò)展到 10 兆赫茲甚至 100 兆赫范圍,而且成本更低,允許將其復(fù)制給軟件團(tuán)隊(duì)的更多成員。

傳統(tǒng)上,基于 FPGA 的原型的障礙一直是它的啟動(dòng)過程,有時(shí)需要幾個(gè)月的時(shí)間才能提供給軟件開發(fā)人員。原因是需要將 ASIC 設(shè)計(jì)從其實(shí)際的硅目標(biāo)技術(shù)重新映射到 FPGA 提供的結(jié)構(gòu)中,這需要重新映射部分設(shè)計(jì)。

首先,ASIC 中的存儲(chǔ)器需要映射到 FPGA 中可用的資源中,或者映射到包含特定附加存儲(chǔ)器(如 DDR)的子卡中。處理 ASIC 的時(shí)鐘可能是一場(chǎng)噩夢(mèng),在 PCB 和 FPGA 上更復(fù)雜的設(shè)計(jì)中需要同步 10 多個(gè)時(shí)鐘??缍鄠€(gè) FPGA 對(duì)設(shè)計(jì)進(jìn)行分區(qū)絕非易事,而且通常需要使用低壓差分信號(hào) (LVDS) 技術(shù)在同一引腳上多路復(fù)用多個(gè)信號(hào)。

poYBAGKhscqAW3-_AAKezgxUTWk474.png

[Palladium Z1 和 Protium S1 平臺(tái)的 Cadence 多結(jié)構(gòu)編譯流程。]

傳統(tǒng)上,較大的公司擁有原型設(shè)計(jì)團(tuán)隊(duì),專門負(fù)責(zé)設(shè)計(jì)團(tuán)隊(duì)正在開發(fā)的 RTL 版本并將其映射到 FPGA 中。然而,隨著設(shè)計(jì)復(fù)雜性的增加,執(zhí)行上述所有任務(wù)變得越來越困難,而軟件開發(fā)人員則不耐煩地在團(tuán)隊(duì)上空盤旋以訪問它。

借助基于 Protium S1 FPGA 的原型設(shè)計(jì)平臺(tái),Cadence 重新審視了原型設(shè)計(jì),并專注于將原型設(shè)計(jì)時(shí)間從數(shù)月縮短至數(shù)周甚至數(shù)天。它通過在 Palladium Z1 仿真和基于 Protium S1 FPGA 的原型設(shè)計(jì)之間實(shí)現(xiàn)一致性,以及重新使用一些仿真前端進(jìn)行原型設(shè)計(jì)來做到這一點(diǎn)。

復(fù)雜的手動(dòng)內(nèi)存建模已使用仿真中已知的內(nèi)存模型自動(dòng)化;編譯流程負(fù)責(zé) FPGA 之間的分區(qū)和時(shí)鐘同步??梢栽诜抡嬷序?yàn)證要映射到 FPGA 架構(gòu)的網(wǎng)表,從而節(jié)省寶貴的布局布線時(shí)間。一旦在基于 FPGA 的原型設(shè)計(jì)中以比仿真快約 5 倍的速度識(shí)別出缺陷,就可以將相同的設(shè)計(jì)推回仿真,以利用那里提供的更好的調(diào)試功能。

作為還包括形式驗(yàn)證、仿真和仿真的 Cadence 驗(yàn)證套件的一部分,基于 FPGA 的原型設(shè)計(jì)剛剛通過自動(dòng)化進(jìn)行了重新發(fā)明,并可供更廣泛的物聯(lián)網(wǎng)設(shè)計(jì)開發(fā)人員使用。

審核編輯:郭婷

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598879
  • pcb
    pcb
    +關(guān)注

    關(guān)注

    4292

    文章

    22769

    瀏覽量

    393183
  • 存儲(chǔ)器
    +關(guān)注

    關(guān)注

    38

    文章

    7365

    瀏覽量

    163088
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    驗(yàn)證中的FPGA原型驗(yàn)證 FPGA原型設(shè)計(jì)面臨的挑戰(zhàn)是什么?

    什么是FPGA原型?? FPGA原型設(shè)計(jì)是一種成熟的技術(shù),用于通過將RTL移植到現(xiàn)場(chǎng)可編程門陣列(FPGA)來驗(yàn)證專門應(yīng)用的集成電路(ASI
    發(fā)表于 07-19 16:27 ?1913次閱讀

    重新審視醫(yī)療處理技術(shù)的未來

    5年前,作者在一篇題為“醫(yī)療成像的未來”的文章中發(fā)表了他們對(duì)于醫(yī)療成像未來發(fā)展趨勢(shì)的看法。現(xiàn)在,這篇文章將回顧這些對(duì)未來的展望,來仔細(xì)想一想那些已經(jīng)發(fā)生的變化,并重新審視醫(yī)療處理技術(shù)的未來。##視覺
    發(fā)表于 05-26 17:17 ?1713次閱讀

    怎么采用FPGA原型系統(tǒng)加速物聯(lián)網(wǎng)設(shè)計(jì)?

    迫使設(shè)計(jì)團(tuán)隊(duì)不得不重新思考其發(fā)展策略。再加消費(fèi)類物聯(lián)網(wǎng)設(shè)備對(duì)產(chǎn)品上市時(shí)間的壓力,很顯然工程師需要適當(dāng)?shù)慕?決方案來解決這些問題。讓你在設(shè)計(jì)初期信心倍增基于FPGA原型系統(tǒng)是專門針對(duì)物聯(lián)網(wǎng)設(shè)備
    發(fā)表于 08-07 09:41

    MATLAB和Simulink算法原型如何在FPGA上適配?

      在FPGA上建立算法原型可以增強(qiáng)工程師的信心,使他們相信自己的算法在實(shí)際環(huán)境中的表現(xiàn)能夠與預(yù)期相符。除了高速運(yùn)行測(cè)試向量和仿真方案,工程師還可以利用FPGA原型試驗(yàn)軟件功能以及諸如
    發(fā)表于 09-04 09:26

    ASIC設(shè)計(jì)-FPGA原型驗(yàn)證

    ASIC設(shè)計(jì)-FPGA原型驗(yàn)證
    發(fā)表于 03-19 16:15

    提高FPGA原型可視性的方法

      用基于現(xiàn)場(chǎng)可編程門陣列(FPGA)的原型的驗(yàn)證團(tuán)隊(duì)面臨的最大挑戰(zhàn)之一在于當(dāng)原型系統(tǒng)未能發(fā)揮期望的性能時(shí)了解原型系統(tǒng)的內(nèi)部行為。分析和調(diào)試這些設(shè)計(jì)的一個(gè)關(guān)鍵因素是難以觀察內(nèi)部信號(hào)?!?/div>
    發(fā)表于 07-07 09:08

    FPGA原型驗(yàn)證的技術(shù)進(jìn)階之路

    FPGA原型驗(yàn)證已是當(dāng)前原型驗(yàn)證的主流且成熟的芯片驗(yàn)證方法——它通過將RTL移植到現(xiàn)場(chǎng)可編程門陣列(FPGA)來驗(yàn)證ASIC的功能,并在芯片的基本功能驗(yàn)證通過后就可以開始驅(qū)動(dòng)的開發(fā),一
    發(fā)表于 08-21 05:00

    將 Virtualizer 虛擬原型和 HAPS 系列基于 FPGA原型無縫集成

    如今,設(shè)計(jì)人員使用兩種相對(duì)獨(dú)立的方法進(jìn)行 SoC 原型驗(yàn)證:以事務(wù)級(jí)模型為基礎(chǔ)的虛擬原型驗(yàn)證和基于 FPGA原型驗(yàn)證。 虛擬原型驗(yàn)證執(zhí)行
    發(fā)表于 02-08 14:32 ?344次閱讀

    使用FPGA平臺(tái)的處理器ARMCortex原型設(shè)計(jì)的說明

    原型設(shè)計(jì)允許硬件驗(yàn)證和軟件工作更早地開始,在第一個(gè)硅之前,有效地流水線設(shè)計(jì)過程?,F(xiàn)代可重新編程的FPGA是靈活多樣的計(jì)算和原型平臺(tái)-易于重新
    發(fā)表于 06-25 08:00 ?2次下載
    使用<b class='flag-5'>FPGA</b>平臺(tái)的處理器ARMCortex<b class='flag-5'>原型</b>設(shè)計(jì)的說明

    消除PCB原型重新旋轉(zhuǎn)5條建議

    。每個(gè)物理PCB原型的平均成本為8000美元,每個(gè)PCB原型旋轉(zhuǎn)和重新旋轉(zhuǎn),成本不斷上升,制造延遲。每個(gè)PCB原型重新旋轉(zhuǎn)都需要返回設(shè)計(jì)板來
    的頭像 發(fā)表于 08-06 08:54 ?1468次閱讀

    FPGA原型平臺(tái)到底能跑多快呢?

    FPGA原型平臺(tái)的性能估計(jì)與應(yīng)用過程的資源利用率以及FPGA性能參數(shù)密切相關(guān),甚至FPGA的制程也是一個(gè)因素。
    的頭像 發(fā)表于 04-04 09:49 ?1923次閱讀

    什么是FPGA原型驗(yàn)證?如何用FPGA對(duì)ASIC進(jìn)行原型驗(yàn)證

    FPGA原型設(shè)計(jì)是一種成熟的技術(shù),用于通過將RTL移植到現(xiàn)場(chǎng)可編程門陣列(FPGA)來驗(yàn)證專門應(yīng)用的集成電路(ASIC),專用標(biāo)準(zhǔn)產(chǎn)品(ASSP)和片上系統(tǒng)(SoC)的功能和性能。
    發(fā)表于 04-10 09:23 ?1288次閱讀

    基于FPGA原型設(shè)計(jì)的SoC開發(fā)

    所有形式的原型都為驗(yàn)證硬件設(shè)計(jì)和驗(yàn)證軟件提供了強(qiáng)大的方法,模型或多或少地模仿了目標(biāo)環(huán)境?;?b class='flag-5'>FPGA的原型設(shè)計(jì)在項(xiàng)目的關(guān)鍵后期階段尤其有益。用戶有幾個(gè)原型設(shè)計(jì)選項(xiàng)根據(jù)他們的主要需求,可
    發(fā)表于 10-11 12:39 ?647次閱讀
    基于<b class='flag-5'>FPGA</b><b class='flag-5'>原型</b>設(shè)計(jì)的SoC開發(fā)

    什么是FPGA原型驗(yàn)證?FPGA原型設(shè)計(jì)的好處是什么?

    FPGA原型設(shè)計(jì)是一種成熟的技術(shù),用于通過將RTL移植到現(xiàn)場(chǎng)可編程門陣列(FPGA)來驗(yàn)證專門應(yīng)用的集成電路(ASIC),專用標(biāo)準(zhǔn)產(chǎn)品(ASSP)和片上系統(tǒng)(SoC)的功能和性能。
    發(fā)表于 01-12 16:13 ?924次閱讀

    fpga原型驗(yàn)證流程

    FPGA原型驗(yàn)證流程是確保FPGA(現(xiàn)場(chǎng)可編程門陣列)設(shè)計(jì)正確性和功能性的關(guān)鍵步驟。它涵蓋了從設(shè)計(jì)實(shí)現(xiàn)到功能驗(yàn)證的整個(gè)過程,是FPGA開發(fā)流程中不可或缺的一環(huán)。
    的頭像 發(fā)表于 03-15 15:05 ?1148次閱讀