0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

新思科技IC Compiler? 解決方案實(shí)現(xiàn)布局規(guī)劃新高度

科技綠洲 ? 來源:新思科技 ? 作者:新思科技 ? 2022-06-15 15:28 ? 次閱讀

高質(zhì)量的電路布局布線是芯片設(shè)計(jì)成功的先決條件,而耗時(shí)且繁瑣的布局規(guī)劃是實(shí)現(xiàn)高質(zhì)量布局布線的關(guān)鍵。宏單元,主要包括存儲(chǔ)單元以及各種定制IP,是集成電路的主要功能模塊。傳統(tǒng)的布局規(guī)劃需要手動(dòng)試錯(cuò)來實(shí)現(xiàn)良好的數(shù)據(jù)流。一旦所有宏單元被布局后,剩余的空間都將留給標(biāo)準(zhǔn)單元。只有將每一個(gè)宏單元都放在合適的位置,才能實(shí)現(xiàn)所需的性能、功耗、面積(PPA)目標(biāo)。

根據(jù)芯片的大小和復(fù)雜性,布局規(guī)劃的迭代時(shí)間可以從幾天到幾周不等。隨著人工智能AI)、高性能計(jì)算(HPC)、以及超大規(guī)模數(shù)據(jù)中心等新興領(lǐng)域的崛起,能夠滿足用戶需求的芯片所需的設(shè)計(jì)更為復(fù)雜,架構(gòu)也更加獨(dú)特,布局規(guī)劃的工作量也隨之迅速增加。如果采用傳統(tǒng)的人工布局規(guī)劃方法,開發(fā)者們只能將宏單元放在模塊的邊緣,這樣就可能會(huì)導(dǎo)致?lián)砣驘o法達(dá)成最優(yōu)解決方案。

因此,開發(fā)者們希望能夠找到一個(gè)解決方案,既能減少布局規(guī)劃的迭代數(shù)量,又能實(shí)現(xiàn)開發(fā)者們所追求的結(jié)果質(zhì)量目標(biāo)。新思科技IC Compiler? II和Fusion Compiler?布局及繞線解決方案就是一個(gè)完美的答案,這是一種可以自動(dòng)進(jìn)行布局規(guī)劃的新技術(shù),可以幫助開發(fā)者節(jié)省數(shù)日、數(shù)周、甚至多達(dá)數(shù)月的時(shí)間。

案例分享:GUC的兩組測試

Global Unichip Corp(GUC,以下簡稱“創(chuàng)意電子”)多年來成功在3nm及5nm等先進(jìn)工藝節(jié)點(diǎn)上采用新思科技的數(shù)字實(shí)現(xiàn)流程,為了滿足客戶對(duì)高性能應(yīng)用,如AI、HPC、5G、智能汽車、物聯(lián)網(wǎng)等領(lǐng)域不斷增長的迫切需求,創(chuàng)意電子需要簡化芯片設(shè)計(jì)周期,從而能夠幫助客戶加速其產(chǎn)品上市。

在創(chuàng)意電子的一個(gè)復(fù)雜的SoC中,存儲(chǔ)器數(shù)量可多達(dá)2000個(gè),因此布局規(guī)劃對(duì)GUC來說是一個(gè)巨大的挑戰(zhàn),因此GUC需要一個(gè)解決方案來提升其布局和繞線上的設(shè)計(jì)生產(chǎn)力。

創(chuàng)意電子在他們的一個(gè)12nm HPC芯片的設(shè)計(jì)中使用了新思科技的FreeForm Macro Placement技術(shù),大幅降低了其布局布線設(shè)計(jì)的復(fù)雜性,并將流片時(shí)間提前了數(shù)月。

創(chuàng)意電子曾用相同的布局布線流程進(jìn)行了兩次并行測試。在第一次測試中,創(chuàng)意電子使用傳統(tǒng)的迭代過程進(jìn)行了布局規(guī)劃,再使用新思科技的IC Compiler II來完成其余的布局繞線步驟。在第二次測試中,該團(tuán)隊(duì)在一開始就使用了新思科技的IC Compiler II的FreeForm Macro Placement技術(shù)來自動(dòng)完成設(shè)計(jì)流程中的布局規(guī)劃部分。連通性驅(qū)動(dòng)的FreeForm Macro Placement技術(shù)具備擁塞認(rèn)知的能力,可以同時(shí)置放標(biāo)準(zhǔn)單元和宏單元以獲得更好的設(shè)計(jì)質(zhì)量。宏觀布局引擎能夠同時(shí)優(yōu)化標(biāo)準(zhǔn)單元和宏單元的線長、時(shí)序、和功耗。

對(duì)比兩次測試,創(chuàng)意電子發(fā)現(xiàn)在第二種情況下,也就是使用FreeForm Macro Placement 技術(shù)的單一流程時(shí),PPA結(jié)果得到大幅改善:

翻轉(zhuǎn)功耗降低了14%,線長減少了19%。

更好的變壓比降低了漏電功耗。

由于有更好的總線長和總電容,動(dòng)態(tài)功耗更低。

由于宏單元到標(biāo)準(zhǔn)單元路徑更短,沒有出現(xiàn)抖動(dòng)違例,因此獲得了更好的信號(hào)完整性。

新思科技的IC Compiler II FreeForm Macro Placement大幅降低了我們?cè)诓季忠?guī)劃上花費(fèi)的時(shí)間,幫助我們實(shí)現(xiàn)了滿意的ASIC設(shè)計(jì)目標(biāo),以及業(yè)界領(lǐng)先的PPA指標(biāo)。該技術(shù)不僅能夠減少布局規(guī)劃的重復(fù)迭代,還能實(shí)現(xiàn)最佳的時(shí)序和阻塞,助力我們協(xié)助客戶完成其在下一代人工智能硬件、高性能計(jì)算、智能汽車、5G以及物聯(lián)網(wǎng)等領(lǐng)域中所要求的嚴(yán)苛的設(shè)計(jì)和產(chǎn)品交付目標(biāo)。

林景源博士

創(chuàng)意電子資深副總經(jīng)理

ML+Macro Placement

實(shí)現(xiàn)布局規(guī)劃新高度

除了FreeForm Macro Placement技術(shù)之外,新思科技IC Compiler II還應(yīng)用了新一代由機(jī)器學(xué)習(xí)(ML)驅(qū)動(dòng)的Macro Placement技術(shù),進(jìn)一步強(qiáng)化了其功能。基于機(jī)器學(xué)習(xí)的技術(shù)利用強(qiáng)大的宏觀布局引擎,能夠根據(jù)從之前的設(shè)計(jì)結(jié)果中所收集到的數(shù)據(jù),預(yù)測宏單元布局的設(shè)計(jì)結(jié)果質(zhì)量, 并為阻塞和時(shí)序創(chuàng)建盡可能優(yōu)化的開箱即用的Macro Placement。該技術(shù)可以預(yù)測擁塞、線長,和總負(fù)時(shí)序裕量,并顯著減少人工調(diào)整工作。通過迅速地自動(dòng)探索數(shù)百個(gè)布局規(guī)劃,該技術(shù)可以創(chuàng)建出性能極佳的布局規(guī)劃。

機(jī)器學(xué)習(xí)的模型會(huì)在使用過程中一直被訓(xùn)練,而且可用來提供訓(xùn)練的數(shù)據(jù)越多,這一技術(shù)就會(huì)變得越智能。由于集成電路的巨大探索空間,特別是那些動(dòng)輒運(yùn)用到數(shù)千個(gè)宏的人工智能架構(gòu),機(jī)器學(xué)習(xí)技術(shù)非常適合解決布局規(guī)劃設(shè)計(jì)所面臨的挑戰(zhàn)。而且來自用戶或該工具出廠時(shí)所預(yù)載的機(jī)器學(xué)習(xí)數(shù)據(jù)庫中的機(jī)器學(xué)習(xí)數(shù)據(jù)都會(huì)被保存下來,供其他設(shè)計(jì)重復(fù)使用。

IC Compiler II和Fusion Compiler是新思科技數(shù)字設(shè)計(jì)系列產(chǎn)品中的一部分,該系列是業(yè)界首個(gè)人工智能增強(qiáng)的云端設(shè)計(jì)解決方案,重新定義了傳統(tǒng)EDA工具在綜合、布局布線、及驗(yàn)證簽核等方面的界限,并致力于提供業(yè)界領(lǐng)先的PPA和盡可能縮短的結(jié)果時(shí)間。此外,新思科技也已將許多IP集成到該解決方案中。

芯片的布局規(guī)劃標(biāo)示了所有基礎(chǔ)元件的位置。理想情況下,宏單元和標(biāo)準(zhǔn)單元的擺放都應(yīng)該遵守芯片的數(shù)據(jù)流,以實(shí)現(xiàn)適用于目標(biāo)應(yīng)用的最佳PPA。在創(chuàng)意電子的例子中,他們成功實(shí)現(xiàn)將翻轉(zhuǎn)功耗降低14%,線長減少19%。

傳統(tǒng)的布局規(guī)劃是手動(dòng)且耗時(shí)的流程,新思科技的IC Compiler II和Fusion Compiler數(shù)字實(shí)現(xiàn)解決方案中的全新自動(dòng)化和由機(jī)器學(xué)習(xí)驅(qū)動(dòng)的技術(shù)是解決布局規(guī)劃難題的完美答案,不但可以大幅簡化布局規(guī)劃的迭代數(shù)量,還能夠獲得更好的結(jié)果及生產(chǎn)力,滿足開發(fā)者對(duì)設(shè)計(jì)質(zhì)量和時(shí)間的雙需求。

審核編輯:彭靜
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49636

    瀏覽量

    417226
  • 集成電路
    +關(guān)注

    關(guān)注

    5367

    文章

    11162

    瀏覽量

    358408
  • 人工智能
    +關(guān)注

    關(guān)注

    1787

    文章

    46061

    瀏覽量

    235063
  • 新思科技
    +關(guān)注

    關(guān)注

    5

    文章

    775

    瀏覽量

    50191
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    思科技7月份行業(yè)事件

    思科技宣布推出面向英特爾代工EMIB先進(jìn)封裝技術(shù)的可量產(chǎn)多裸晶芯片設(shè)計(jì)參考流程,該流程采用了Synopsys.ai EDA全面解決方案和新思科技IP。此外,新思科技3DSO.ai與新
    的頭像 發(fā)表于 08-12 09:50 ?426次閱讀

    思科技攜手英特爾推出可量產(chǎn)Multi-Die芯片設(shè)計(jì)解決方案

    提供了一個(gè)統(tǒng)一的協(xié)同設(shè)計(jì)與分析解決方案,通過新思科技3DIC Compiler加速從芯片到系統(tǒng)的各個(gè)階段的多裸晶芯片設(shè)計(jì)的探索和開發(fā)。此外,新思科技3DSO.ai與新
    的頭像 發(fā)表于 07-16 09:42 ?444次閱讀

    思科技面向英特爾代工推出可量產(chǎn)的多裸晶芯片設(shè)計(jì)參考流程,加速芯片創(chuàng)新

    3DIC Compiler協(xié)同設(shè)計(jì)與分析解決方案結(jié)合新思科技IP,加速英特爾代工EMIB技術(shù)的異構(gòu)集成 摘要: 新思科技人工智能(AI)驅(qū)動(dòng)型多裸晶芯片(Multi-die)設(shè)計(jì)參考流
    發(fā)表于 07-09 13:42 ?722次閱讀

    思科技推出業(yè)界首款PCIe 7.0 IP解決方案

    PCIe 7.0 IP解決方案,加速萬億參數(shù)領(lǐng)域的芯片設(shè)計(jì) 新思科技推出業(yè)界首款完整的PCIe 7.0 IP解決
    的頭像 發(fā)表于 06-29 15:13 ?486次閱讀

    思科技推出業(yè)界首款PCIe 7.0 IP解決方案

    解決方案,可在x16配置中實(shí)現(xiàn)高達(dá)512 GB/s雙向安全數(shù)據(jù)傳輸,從而緩解AI工作負(fù)載的數(shù)據(jù)瓶頸。新思科技在2024年6月12日至13日在圣克拉拉舉辦的PCI-SIG DevCon大會(huì)上展示了這項(xiàng)全球首創(chuàng)技術(shù)。
    的頭像 發(fā)表于 06-25 09:46 ?353次閱讀

    激光雷達(dá)-定義未來感知的新高度

    保護(hù)裝置
    jf_18500570
    發(fā)布于 :2024年06月22日 10:21:14

    ZR執(zhí)行器:智能制造的得力干將,提升生產(chǎn)新高度

    ZR執(zhí)行器:智能制造的得力干將,提升生產(chǎn)新高度 ZR執(zhí)行器以其精準(zhǔn)的控制能力和高效的執(zhí)行效率,在智能制造領(lǐng)域發(fā)揮了重要作用。傳統(tǒng)的執(zhí)行器往往存在控制不精確、反應(yīng)速度慢等問題,難以滿足現(xiàn)代生產(chǎn)對(duì)于高效
    的頭像 發(fā)表于 05-10 11:15 ?376次閱讀

    思科技為AMBA CHI-G協(xié)議量身定制一系列AMBA協(xié)議解決方案

    思科技提供了一系列AMBA協(xié)議解決方案,用于早期建模、設(shè)計(jì)、實(shí)現(xiàn)、驗(yàn)證、確認(rèn)和系統(tǒng)成型。
    的頭像 發(fā)表于 04-30 17:20 ?577次閱讀

    思科技正式推出業(yè)界首個(gè)1.6T以太網(wǎng)IP整體解決方案

    思科技1.6T以太網(wǎng)IP整體解決方案現(xiàn)已上市并被多家客戶用,與現(xiàn)有實(shí)現(xiàn)方案相比,其互連功耗最多可降低50%
    的頭像 發(fā)表于 03-19 10:23 ?338次閱讀

    思科技攜手臺(tái)積公司推出“從架構(gòu)探索到簽核” 統(tǒng)一設(shè)計(jì)平臺(tái)

    思科技3DIC Compiler集成了3Dblox 2.0標(biāo)準(zhǔn),可用于異構(gòu)集成和“從架構(gòu)探索到簽核”的完整解決方案。
    的頭像 發(fā)表于 01-12 13:40 ?419次閱讀
    新<b class='flag-5'>思科</b>技攜手臺(tái)積公司推出“從架構(gòu)探索到簽核” 統(tǒng)一設(shè)計(jì)平臺(tái)

    高度集成的全新電源管理解決方案的應(yīng)用

    電子發(fā)燒友網(wǎng)站提供《高度集成的全新電源管理解決方案的應(yīng)用.pdf》資料免費(fèi)下載
    發(fā)表于 11-23 10:02 ?0次下載
    <b class='flag-5'>高度</b>集成的全新電源管理<b class='flag-5'>解決方案</b>的應(yīng)用

    Codasip推出全新高度可配置的RISC-V基準(zhǔn)處理器系列

    RISC-V定制計(jì)算領(lǐng)域領(lǐng)導(dǎo)者 Codasip 今天宣布推出全新高度可配置的RISC-V基準(zhǔn)處理器系列,旨在實(shí)現(xiàn)無限創(chuàng)新。該"700家族系列"包括應(yīng)用和嵌入式處理器內(nèi)核。700
    的頭像 發(fā)表于 10-18 10:03 ?567次閱讀

    思科技的軟件質(zhì)量與安全解決方案獲得行業(yè)認(rèn)可

    ? 在當(dāng)今數(shù)智化時(shí)代,軟件已成為各行業(yè)的核心競爭力。新思科技致力于為客戶提供最優(yōu)質(zhì)的軟件產(chǎn)品和服務(wù)。近期,新思科技的軟件質(zhì)量與安全解決方案獲得了包括業(yè)內(nèi)權(quán)威機(jī)構(gòu)和客戶等多方的贊譽(yù)和認(rèn)可。 新
    的頭像 發(fā)表于 10-17 10:14 ?876次閱讀

    Dear Reality推出SPATIAL CONNECT for Wwise——XR音頻制作新高度

    (Dear Reality演示視頻截圖) 游戲混音的未來在頭戴式設(shè)備中 Dear Reality推出SPATIAL CONNECT for Wwise——XR音頻制作新高度 沉浸式音頻專家Dear
    的頭像 發(fā)表于 10-13 15:13 ?447次閱讀
    Dear Reality推出SPATIAL CONNECT for Wwise——XR音頻制作<b class='flag-5'>新高度</b>

    開始報(bào)名!CadenceTECHTALK:使用 Cadence 托管云服務(wù)將生產(chǎn)力極速提升至新高度

    CadenceTECHTALK:使用 Cadence 托管云服務(wù)將生產(chǎn)力極速提升至新高度報(bào)名通道已經(jīng)開啟!通過本次線上網(wǎng)絡(luò)研討會(huì),您將了解到一款經(jīng)由 Cadence 管理、針對(duì) EDA 優(yōu)化、即需
    的頭像 發(fā)表于 10-09 19:25 ?476次閱讀
    開始報(bào)名!CadenceTECHTALK:使用 Cadence 托管云服務(wù)將生產(chǎn)力極速提升至<b class='flag-5'>新高度</b>