0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

2025年即將邁入2nm,但臺積電或三星可能都不是贏家

Simon觀察 ? 來源:電子發(fā)燒友網(wǎng) ? 作者:黃山明 ? 2022-06-19 08:00 ? 次閱讀
電子發(fā)燒友網(wǎng)報(bào)道(文/黃山明)近日,臺積電在2022技術(shù)研討會上披露了未來先進(jìn)制程的相關(guān)信息,N3(3nm)工藝將于2022年內(nèi)量產(chǎn),后續(xù)還將有N3E、N3P、N3X等系列,而N2(2nm)工藝將在2025年量產(chǎn),這也是臺積電首次正式公布2nm量產(chǎn)的時(shí)間。

此前三星便已經(jīng)在2021年宣布了3nm工藝節(jié)點(diǎn),其中3GAE(低功耗版)版已經(jīng)在2022年實(shí)現(xiàn)量產(chǎn),而3GAP(高性能版)則會在2023年左右批量生產(chǎn)。盡管沒有在工藝路線圖上公布2nm制程的量產(chǎn)時(shí)間,不過三星代工市場策略高級副總裁MoonSoo Kang透露,2GAP工藝將會在2025年量產(chǎn)。不過當(dāng)市場期待臺積電與三星在2nm制程爭鋒時(shí),誰也沒想到,這時(shí)來了位攪局者。

激進(jìn)的三星

盡管當(dāng)前芯片最先進(jìn)制程已經(jīng)開始向2nm邁進(jìn),但在7nm以上的高制程工藝目前全球仍然僅有臺積電與三星。雖然英特爾也開始向7nm進(jìn)發(fā),但按照英特爾的說法,其愛爾蘭的Fab 34晶圓廠才剛剛安裝完第一臺EUV光刻機(jī)沒多久,Intel 4工藝(即7nm工藝)預(yù)計(jì)在今年下半年量產(chǎn)。

之所以半導(dǎo)體芯片高制程工藝做的廠商這么少,一方面在于需要投入大量的資金,動輒成百上千億美元的投入,不是隨便哪家企業(yè)可以承擔(dān)的;另一方面在于市場供需上,盡管市場規(guī)模很大,但客戶并不多,有能力為企業(yè)高制程芯片買單的用戶就那么幾家,容不下太多的玩家。

也因此,市場中僅剩下臺積電、三星、英特爾等少數(shù)幾位廠商仍然在向著高制程工藝發(fā)起沖擊。

近幾年,三星的不斷加大對半導(dǎo)體的投入,并且希望在晶圓代工領(lǐng)域一舉超過臺積電。為此決定在2030年前持續(xù)投入達(dá)1160億美元,以實(shí)現(xiàn)在3nm制程上趕超臺積電,并計(jì)劃在2025年實(shí)現(xiàn)芯片制造方面的領(lǐng)先。

從工藝進(jìn)度來看,三星在2020年開始量產(chǎn)5nm工藝,2021年4nm成功量產(chǎn),2022年3nm工藝成功量產(chǎn),并且預(yù)計(jì)到2025年達(dá)到量產(chǎn)2nm工藝的水準(zhǔn)。從時(shí)間進(jìn)度來看,三星已經(jīng)逐步趕上了臺積電。

并且在3nm制程上,近期三星更是公開了3nm制程工藝的12英寸晶圓,并且三星還將率先采用新一代GAA技術(shù)。GAA是一種新型的環(huán)繞柵極晶體管,通過納米片設(shè)備可制造出多橋-通道場效應(yīng)管MBCFET,該技術(shù)可以顯著增強(qiáng)晶體管性能,解決柵極氧化層過薄產(chǎn)生量子隧穿等效應(yīng)造成的晶體管漏電現(xiàn)象。

同時(shí),三星與IBM聯(lián)合推出了一種新的垂直晶體管架構(gòu)VTFET,在同等功率下,VTFET晶體管提供了FinFET晶體管2倍的性能,而在等效頻率下,VTFET可以節(jié)省85%的功率。

據(jù)三星官方介紹,3nm GAA技術(shù)相比7nm制程工藝來說,邏輯面積效率提高了45%以上,功耗降低了50%,性能提高了約35%。從紙面數(shù)據(jù)來看,三星的3nm已經(jīng)勝過了臺積電,但事實(shí)果真如此嗎?

首先是三星4nm工藝的對于芯片實(shí)際性能與功耗的平衡并沒有如他們自己說得這么強(qiáng)悍,典型的例子是三星所代工生產(chǎn)的驍龍888與驍龍8 Gen 1在性能提升的同時(shí),功耗也急劇飆升。而最新發(fā)布的驍龍8plus,采用臺積電4nm工藝,在CPUGPU性能均有10%的提升,同時(shí)綜合功耗降低了15%,可見兩者仍存在一定的差距。

更何況三星此前在新的制程工藝上還傳出其良率只有35%,并且芯片發(fā)熱問題十分嚴(yán)重,這也勸退了不少大客戶,如英偉達(dá)、高通等。三星想要超越臺積電的道路,沒那么容易。

穩(wěn)健的臺積電

相比三星,臺積電則相對穩(wěn)健得多。比如三星決定在2030年前投入1160億美元用于半導(dǎo)體制程的研發(fā),而臺積電在2021年便投入了300億美元,超過原有計(jì)劃的280億美元,并且表示將在今年投入的80%左右用于研發(fā)2nm等先進(jìn)制程,10%左右先進(jìn)封裝,其余則用于成熟制程的投入。

同時(shí),三星激進(jìn)的在3nm工藝便準(zhǔn)備采用GAA技術(shù),而臺積電要在2nm時(shí)才會選擇使用。不僅是新的晶體管結(jié)構(gòu)上,在材料上也有了較大的突破,據(jù)了解,臺積電正在研究的新材料包括二硫化鎢(WuS2)和碳納米管等二維材料。這些二維材料能夠更有效地移動電子,并讓芯片實(shí)現(xiàn)更節(jié)能的計(jì)算。

二維材料的應(yīng)用也是為了解決晶體管微縮后帶來的量子效應(yīng),解決漏電發(fā)熱、提升芯片能耗,并減小芯片面積。相比于當(dāng)前的硅材料,二維半導(dǎo)體材料天生更適用于2nm及之后的先進(jìn)制程,二硫化鎢和碳納米管是目前最具代表性的二維材料。

有了材料以及結(jié)構(gòu),還需要擁有相應(yīng)的工具。近日,臺積電研究發(fā)展資深副總經(jīng)理米玉杰公開表示,臺積電將在2024年引進(jìn)ASML最先進(jìn)的高數(shù)值孔徑極紫外光(high-NA EUV)光刻機(jī),來應(yīng)對客戶推動創(chuàng)新的需求。

不過臺積電業(yè)務(wù)開發(fā)資深副總經(jīng)理張曉強(qiáng)表示,臺積電在2024年還不準(zhǔn)備應(yīng)用這臺high-NA EUV工具來進(jìn)行生產(chǎn),主要的使用目的是合作伙伴進(jìn)行研究。

倒是作為近幾年重新進(jìn)入先進(jìn)晶圓代工市場的英特爾表示,會在2025年使用high-NA EUV,還表示將率先收到這臺機(jī)器。

不管如何,至少證明臺積電不管是在晶體管技術(shù)、制造材料、芯片制造設(shè)備上都已經(jīng)做好了準(zhǔn)備,那么下一步就是如何去做的問題。因此就在近期,臺積電宣布計(jì)劃斥資1萬億新臺幣(約合人民幣2250億元),在中國臺灣臺中市建設(shè)一座新的晶圓廠,專門負(fù)責(zé)2nm芯片的生產(chǎn)。

目前臺積電一步步向2nm制程推進(jìn),相比三星的激進(jìn),臺積電則走得非常穩(wěn)健。不過這也是因?yàn)槿鞘枪ダ拚撸_積電是守擂者的原因。

意外的攪局者

就在臺積電與三星在先進(jìn)制程領(lǐng)域你追我趕,準(zhǔn)備在2025年以2nm來一決高下的時(shí)候,卻闖進(jìn)來了一位攪局者。近日,據(jù)日媒報(bào)道,美國繞開臺積電與日本合作,預(yù)計(jì)最早將于2025年在日本本土建立2nm芯片制造工廠。同時(shí),日美兩國政府還將根據(jù)雙邊芯片技術(shù)合作伙伴關(guān)系提供支持,兩國民間企業(yè)也將在設(shè)計(jì)和量產(chǎn)方面進(jìn)行研究。

報(bào)道顯示,日本希望通過在本土生產(chǎn)的新一代半導(dǎo)體,來確保穩(wěn)定供應(yīng)。為此,日本和美國企業(yè)有望聯(lián)合成立新公司,或者日本企業(yè)可以建立一個新的制造中心,而日本經(jīng)濟(jì)產(chǎn)業(yè)省也將補(bǔ)貼部分研發(fā)費(fèi)用與資本支出,預(yù)計(jì)這一計(jì)劃在2025-2027年完成。

同時(shí)報(bào)道還指出,兩國正專注于研發(fā)媲美2nm微型化工藝的先進(jìn)半導(dǎo)體,該技術(shù)將直接生產(chǎn)安裝在量子計(jì)算機(jī)、數(shù)據(jù)中心和最新智能手機(jī)中的核心部件。甚至先進(jìn)的半導(dǎo)體還將加強(qiáng)日本的安全系統(tǒng),因?yàn)檫@決定了戰(zhàn)斗機(jī)與導(dǎo)彈等武器的性能。

目前,日本先進(jìn)工業(yè)科學(xué)技術(shù)公司正在與東京電子、佳能等設(shè)備公司開發(fā)包括2nm在內(nèi)的先進(jìn)線制造技術(shù),而去年便成功測試2nm的IBM和進(jìn)行2nm研發(fā)的英特爾正在參與該項(xiàng)目,這些公司都極有可能被列入美日聯(lián)合開發(fā)項(xiàng)目中來。

有意思的是,不管是臺積電、三星還是美日聯(lián)合公司,都將實(shí)現(xiàn)2nm制程的時(shí)間節(jié)點(diǎn)放在了2025年,比較意外的是美日方面是如何能夠做到2nm制程的。要知道此前即便是英特爾,也只是長期耕耘10nm,如今短短5年便直接跑向2nm,進(jìn)度驚人。

或許是因?yàn)檫@一原因,近日臺積電拒絕了歐盟的邀請。有國外媒體報(bào)道,在歐盟鼓勵臺灣企業(yè)在歐洲生產(chǎn)芯片之際,臺積電董事長劉德音針對海外布局給出回應(yīng)稱,公司目前還沒有在歐洲建廠的具體計(jì)劃。

寫在最后

如今臺積電與三星奮力向2nm制程發(fā)起沖擊,挑戰(zhàn)人類技術(shù)的極限,但可能最后的贏家卻不是他們。隨著美日開始入局先進(jìn)制程領(lǐng)域,依靠自身雄厚的資本以及先進(jìn)的原材料與設(shè)備,極有可能在幾年時(shí)間內(nèi)便實(shí)現(xiàn)2nm的量產(chǎn),與臺積電、三星處于同一起跑線。

按照美國一切以自身利益為優(yōu)先的性格,一旦有了本土的先進(jìn)工藝制造產(chǎn)線,對于臺積電與三星的訂單也將造成一定的擠壓。當(dāng)然,即便沒有了美國與日本市場,但中國仍然是一個開放且最合適的合作伙伴,目前距離2025年還有一段時(shí)間,或許三星與臺積電可以再思考下未來的發(fā)展方向。
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 臺積電
    +關(guān)注

    關(guān)注

    43

    文章

    5535

    瀏覽量

    165701
  • 先進(jìn)制程
    +關(guān)注

    關(guān)注

    0

    文章

    79

    瀏覽量

    8388
  • 2nm
    2nm
    +關(guān)注

    關(guān)注

    1

    文章

    200

    瀏覽量

    4466
收藏 人收藏

    評論

    相關(guān)推薦

    2nm芯片助力 蘋果把大招留給了iPhone18

    有媒體爆料稱;蘋果公司的iPhone 17系列手機(jī)極大可能將無法搭載2nm前沿制程技術(shù)芯片,iPhone 17系列手機(jī)的處理器預(yù)計(jì)將沿
    的頭像 發(fā)表于 07-19 18:12 ?1480次閱讀

    三星擬升級美國晶圓廠至2nm制程,與競爭尖端市場

    在全球半導(dǎo)體產(chǎn)業(yè)競爭日益激烈的背景下,韓國科技巨頭三星近日宣布了一項(xiàng)重要決策。據(jù)韓國媒體報(bào)道,三星已決定推遲其位于美國德克薩斯州泰勒市新晶圓廠的設(shè)備訂單,考慮將原計(jì)劃的4nm制程工藝直接升級到更為尖端的
    的頭像 發(fā)表于 06-20 09:31 ?426次閱讀

    2nm制程近況佳,N3X、N2P以及A16節(jié)點(diǎn)已在規(guī)劃中

    聯(lián)合首席運(yùn)營官張曉強(qiáng)進(jìn)一步指出,2nm制程的研發(fā)正處于“非常順利”的狀態(tài):納米片的“轉(zhuǎn)換效果”已達(dá)預(yù)定目標(biāo)中的90%,良率亦超過80%。
    的頭像 發(fā)表于 05-24 16:38 ?668次閱讀

    2nm芯片研發(fā)迎新突破

    已經(jīng)明確了2nm工藝的量產(chǎn)時(shí)間表。預(yù)計(jì)試生產(chǎn)將于2024下半年正式啟動,而小規(guī)模生產(chǎn)則將在202
    的頭像 發(fā)表于 04-11 15:25 ?479次閱讀

    2nm芯片研發(fā)工作已步入正軌

    據(jù)悉,已明確其2nm工藝的量產(chǎn)時(shí)間表,計(jì)劃在2024下半年進(jìn)行試產(chǎn),并在2025
    的頭像 發(fā)表于 04-11 14:36 ?319次閱讀

    今日看點(diǎn)丨傳2nm制程加速安裝設(shè)備;吉利汽車新一代雷神混系統(tǒng)年內(nèi)發(fā)布

    )架構(gòu)量產(chǎn)暖身,預(yù)計(jì)寶山P1、P2及高雄座先進(jìn)制程晶圓廠均于2025量產(chǎn),并吸引蘋果、英偉達(dá)、AMD及高通等客戶爭搶產(chǎn)能。
    發(fā)表于 03-25 11:03 ?804次閱讀

    Marvell將與合作2nm 以構(gòu)建模塊和基礎(chǔ)IP

    Marvell將與合作2nm 以構(gòu)建模塊和基礎(chǔ)IP 張忠謀于1987成立的臺灣積體電路制造股份有限公司,簡稱:
    的頭像 發(fā)表于 03-11 16:32 ?668次閱讀

    今日看點(diǎn)丨傳三星墻腳 將拿下Meta AI芯片代工訂單;MEGA 上市后理想港股暴跌 20%

    2nm客戶,也為三星2nm競逐賽更增添話題。 ? 據(jù)報(bào)道,Meta與
    發(fā)表于 03-08 11:01 ?770次閱讀

    2nm制程技術(shù)上展開防守策略

    2nm技術(shù)是3nm技術(shù)的延續(xù)。一直以來,
    發(fā)表于 01-25 14:14 ?338次閱讀

    蘋果欲優(yōu)先獲取2nm產(chǎn)能,預(yù)計(jì)2024安裝設(shè)備生產(chǎn)

    有消息人士稱,蘋果期望能夠提前獲得1.4nm(A14)以及1nm(A10)兩種更為先進(jìn)的工藝的首次產(chǎn)能供應(yīng)。據(jù)了解,
    的頭像 發(fā)表于 01-25 14:10 ?396次閱讀

    首次提及 1.4nm 工藝技術(shù),2nm 工藝按計(jì)劃 2025 量產(chǎn)

    12 月 14 日消息,在近日舉辦的 IEEE 國際電子器件會議(IEDM)的小組研討會上透露,其 1.4nm 級工藝制程研發(fā)已經(jīng)全面展開。同時(shí),
    的頭像 發(fā)表于 12-18 15:13 ?405次閱讀

    今日看點(diǎn)丨首次提及 1.4nm 工藝技術(shù),2nm 工藝按計(jì)劃 2025 量產(chǎn);消息稱字節(jié)跳動將取消下一代 VR 頭顯

    全面展開。同時(shí),重申,2nm 級制程將按計(jì)劃于 2025 開始量產(chǎn)。 ? 據(jù)悉,
    發(fā)表于 12-14 11:16 ?922次閱讀

    關(guān)于1.4nm,重申

    首先看南韓三星電子,他們近期矢言要在2027推出1.4納米芯片制造,超越和英特爾代工服務(wù),也對按計(jì)劃在
    的頭像 發(fā)表于 11-23 16:04 ?543次閱讀

    有望2025量產(chǎn)2nm芯片

    ? ? ? ?在臺的法人說明會上據(jù)總裁魏哲家透露臺
    的頭像 發(fā)表于 10-20 12:06 ?1221次閱讀

    有韓媒報(bào)道稱,三星繞過3納米直接邁入2納米

    近日有消息稱,三星正積極推進(jìn) 2nm 工藝,而此前三星相關(guān)部門負(fù)責(zé)人公開表示,要在未來5內(nèi)超越
    的頭像 發(fā)表于 10-16 11:17 ?534次閱讀