0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

新思科技統(tǒng)一功能安全驗(yàn)證平臺(tái)可幫助開(kāi)發(fā)者驗(yàn)證ASIL目標(biāo)

科技綠洲 ? 來(lái)源:新思科技 ? 作者:新思科技 ? 2022-06-22 14:45 ? 次閱讀

芯片的復(fù)雜度在日益增加,尤其是無(wú)人駕駛、醫(yī)療設(shè)備、航空航天等關(guān)鍵且重要的領(lǐng)域,芯片的設(shè)計(jì)規(guī)模和復(fù)雜度都在以指數(shù)級(jí)攀升。芯片是否能成功上市,驗(yàn)證非常關(guān)鍵,而故障仿真又是這一類(lèi)芯片驗(yàn)證中極為重要的步驟。

那么開(kāi)發(fā)者在做故障仿真時(shí)都會(huì)面臨哪些挑戰(zhàn)?為什么故障仿真要考慮功能安全?為什么說(shuō)統(tǒng)一的驗(yàn)證方法是一大進(jìn)步?在今天的文章中,我們會(huì)對(duì)這幾個(gè)問(wèn)題進(jìn)行討論。

故障仿真必不可少

故障仿真就是排查設(shè)計(jì)中的所有潛在故障,并確定這些故障是否都可以被檢測(cè)出來(lái)。這一步驟通常是在設(shè)計(jì)完成即將流片時(shí)進(jìn)行的,但開(kāi)發(fā)者們都希望能將這一過(guò)程左移,因?yàn)槿绻娴挠惺裁创髥?wèn)題需要修改,對(duì)設(shè)計(jì)進(jìn)度將是巨大的影響。

有效的故障仿真會(huì)涵蓋芯片生命周期的以下三個(gè)主要階段:

芯片開(kāi)發(fā)階段:在這一階段,故障仿真應(yīng)該用于證明和記錄設(shè)計(jì)與驗(yàn)證流程的魯棒性。也就是說(shuō),故障仿真可以確保實(shí)現(xiàn)工具和流程不會(huì)引入設(shè)計(jì)缺陷(系統(tǒng)故障),且驗(yàn)證工具和流程能夠準(zhǔn)確報(bào)告所有設(shè)計(jì)缺陷,讓開(kāi)發(fā)者們能夠針對(duì)漏洞進(jìn)行修復(fù)。所以說(shuō),故障仿真還確保了設(shè)計(jì)驗(yàn)證方法擁有足夠的魯棒性,為零缺陷設(shè)計(jì)目標(biāo)提供高可信度。

芯片制造階段:在這一階段,故障仿真可以通過(guò)監(jiān)測(cè)DFT的功能測(cè)試向量,幫助減少隨機(jī)故障導(dǎo)致的不良率(DPPM)。

實(shí)際運(yùn)行階段:故障仿真能證明并記錄安全機(jī)制是否正常運(yùn)行。安全機(jī)制會(huì)在出現(xiàn)故障時(shí)(且應(yīng)當(dāng)只在出現(xiàn)故障)被觸發(fā),他們能夠有效的讓設(shè)計(jì)進(jìn)入安全狀態(tài)。

故障仿真的故障目標(biāo)覆蓋率(即診斷覆蓋率)與安全關(guān)鍵程度息息相關(guān)。對(duì)安全性要求越高的應(yīng)用,對(duì)故障仿真的目標(biāo)覆蓋率要求也會(huì)越高。比如說(shuō),與無(wú)線耳機(jī)的芯片相比,自動(dòng)駕駛輔助系統(tǒng)芯片要求故障仿真的故障目標(biāo)覆蓋率要高達(dá)99%。但如果只能實(shí)現(xiàn)97%,開(kāi)發(fā)者們應(yīng)該怎么辦呢?如何去收斂覆蓋率差距呢?

在確定是否能夠檢測(cè)到設(shè)計(jì)中所有故障的同時(shí),實(shí)現(xiàn)高診斷覆蓋率對(duì)開(kāi)發(fā)者來(lái)說(shuō)也是一項(xiàng)非常艱巨的任務(wù)。在這個(gè)過(guò)程中需要用大量的測(cè)試平臺(tái)和刺激測(cè)試激勵(lì),對(duì)芯片設(shè)計(jì)在各種場(chǎng)景下進(jìn)行測(cè)試。但由于沒(méi)有一個(gè)明確的方法來(lái)評(píng)估每個(gè)測(cè)試平臺(tái)和激勵(lì)對(duì)錯(cuò)誤覆蓋率的價(jià)值,往往無(wú)法確定這些測(cè)試序列是否足夠。

而且,隨著芯片設(shè)計(jì)變得越來(lái)越復(fù)雜,仿真運(yùn)行需要花費(fèi)的時(shí)間越來(lái)越長(zhǎng)。對(duì)某些安全關(guān)鍵型應(yīng)用的SoC設(shè)計(jì)來(lái)說(shuō),為了測(cè)量診斷覆蓋率,需要對(duì)多達(dá)數(shù)百萬(wàn)個(gè)故障進(jìn)行仿真以確保其功能安全合規(guī)性。毫不夸張地說(shuō),這一過(guò)程將給整個(gè)功能驗(yàn)證增加30%的工作量。

但無(wú)論如何,故障仿真是必不可少的。

故障仿真與功能驗(yàn)證

如何協(xié)同工作

功能驗(yàn)證,是對(duì)芯片設(shè)計(jì)中的各種功能模塊進(jìn)行測(cè)試,從而驗(yàn)證芯片設(shè)計(jì)是否符合預(yù)期。

故障仿真,即如果在設(shè)計(jì)中注入了會(huì)導(dǎo)致芯片設(shè)計(jì)失敗的錯(cuò)誤,這個(gè)錯(cuò)誤能否被檢測(cè)出來(lái)?或者更進(jìn)一步地說(shuō),設(shè)計(jì)是否有足夠的能力仍然可以正常運(yùn)行?

功能驗(yàn)證和故障仿真都有自己的覆蓋率指標(biāo)。然而,為了提高效率,開(kāi)發(fā)者通常會(huì)考慮如何利用功能驗(yàn)證中的測(cè)試機(jī)制進(jìn)行故障仿真。功能驗(yàn)證和故障仿真都可以運(yùn)行幾乎無(wú)限數(shù)量的測(cè)試來(lái)對(duì)設(shè)計(jì)進(jìn)行徹底驗(yàn)證。當(dāng)然,“無(wú)限”并不能真正支持上市時(shí)間目標(biāo),因?yàn)槭謩?dòng)編寫(xiě)軟件測(cè)試庫(kù)會(huì)耗費(fèi)大量人力。因此,任何能將功能驗(yàn)證和故障仿真自動(dòng)化的技術(shù)都可以極大地提高設(shè)計(jì)效率。

功能安全合規(guī)性也是一個(gè)需要討論的重點(diǎn)問(wèn)題。

安全關(guān)鍵型汽車(chē)應(yīng)用需要符合ISO 26262功能安全標(biāo)準(zhǔn)。ISO 26262描述了一種被稱(chēng)為汽車(chē)安全完整性等級(jí)(ASIL)的風(fēng)險(xiǎn)分類(lèi)系統(tǒng),其目的是減輕電氣電子(E/E)系統(tǒng)故障行為產(chǎn)生的潛在危險(xiǎn)。ASIL D代表最高風(fēng)險(xiǎn)等級(jí),適用于ADAS等汽車(chē)應(yīng)用。從故障仿真的角度來(lái)看,驗(yàn)證開(kāi)發(fā)者需要進(jìn)行穩(wěn)健的診斷測(cè)試,以驗(yàn)證安全機(jī)制是否符合ISO 26262以及IEC 61508工業(yè)安全標(biāo)準(zhǔn)的要求。對(duì)于較高的風(fēng)險(xiǎn)等級(jí)(如ASIL D),覆蓋率要求也更高,相關(guān)的安全機(jī)制應(yīng)更具彈性、更可靠。

通過(guò)自動(dòng)化加速故障仿真的

解決方案

驗(yàn)證開(kāi)發(fā)者們始終都面臨著加快周轉(zhuǎn)時(shí)間的壓力,因此急需關(guān)鍵技術(shù)來(lái)減少故障注入的工作量。新思科技的統(tǒng)一功能安全驗(yàn)證平臺(tái),可以將自動(dòng)化能力從功能驗(yàn)證拓展到故障仿真,從而為開(kāi)發(fā)者提供有效的解決方案:

新思科技的VC Z01X?并行故障仿真解決方案,可將故障注入整個(gè)數(shù)字汽車(chē)設(shè)備,對(duì)故障的影響進(jìn)行仿真,以促進(jìn)穩(wěn)健診斷測(cè)試的開(kāi)發(fā),并驗(yàn)證安全機(jī)制是否符合ISO 26262和IEC 61508的故障注入要求。新思科技 VC Z01X解決方案配備了各種報(bào)告機(jī)制,可幫助開(kāi)發(fā)者了解設(shè)計(jì)中覆蓋率低的原因和位置。有了這種洞察力,開(kāi)發(fā)者可以更好地了解是需要編寫(xiě)新的測(cè)試模式還是需要進(jìn)行對(duì)設(shè)計(jì)進(jìn)行更改。

新思科技VC Functional Safety Manager,是一種可擴(kuò)展、自動(dòng)化、全面的功能安全失效模式后果分析(FMEA)和失效模式后果診斷分析(FMEDA)解決方案。

新思科技VC Formal?功能安全應(yīng)用程序,能夠提供全面的分析和調(diào)試。使用新思科技的Verdi?自動(dòng)調(diào)試系統(tǒng)可查看示意圖并注釋發(fā)生故障的位置,快速確定根本原因,從而大大提高調(diào)試過(guò)程的效率。

新思科技TestMAX FuSa功能安全分析解決方案,能夠在RTL或門(mén)級(jí)網(wǎng)表的設(shè)計(jì)流程早期執(zhí)行分析,從而改進(jìn)ISO 26262功能安全指標(biāo)。

新思科技下一代電路仿真技術(shù)PrimeSim? Continuum統(tǒng)一工作流程,具備仿真故障注入功能。

新思科技ZeBu?仿真系統(tǒng),可加速故障仿真進(jìn)程。

新思科技統(tǒng)一功能安全驗(yàn)證平臺(tái)

通過(guò)使用該平臺(tái)的VC Z01X組件,開(kāi)發(fā)者可以將功能驗(yàn)證測(cè)試平臺(tái)復(fù)用于故障仿真,因此無(wú)需再單獨(dú)進(jìn)行邏輯仿真。新思科技的VC Z01X解決方案除了能夠加快覆蓋率收斂的速度外,還可提供一個(gè)涵蓋仿真、形式化和硬件加速的統(tǒng)一故障環(huán)境。

新思科技的統(tǒng)一功能安全驗(yàn)證平臺(tái)讓驗(yàn)證測(cè)試平臺(tái)更智能。舉個(gè)例子,假設(shè)現(xiàn)在有100個(gè)測(cè)試用例,在對(duì)測(cè)試平臺(tái)和電路活動(dòng)進(jìn)行分析之后,平臺(tái)可能會(huì)確定只有少部分用例提供了有價(jià)值的故障覆蓋,而其他用例實(shí)際上都是在浪費(fèi)仿真周期。

對(duì)于功能安全合規(guī)性,集成解決方案可以幫助開(kāi)發(fā)者驗(yàn)證其ASIL目標(biāo)是否已達(dá)成。

下一代故障仿真技術(shù)

會(huì)是怎樣的發(fā)展?

故障仿真技術(shù)經(jīng)過(guò)多年的發(fā)展,已經(jīng)從以過(guò)程加速為目的的單元優(yōu)化進(jìn)化到更有效的內(nèi)存仿真。新思科技采用了基于故障模型注入的技術(shù),隨著芯片尺寸的不斷縮小,故障模型出現(xiàn)了一種新趨勢(shì),新的模型將會(huì)有新的體驗(yàn),比如慢通孔、橋接和電磁干擾等。

日益復(fù)雜化的芯片設(shè)計(jì)將需要不斷優(yōu)化的新方法來(lái)縮短仿真時(shí)間。新思科技的統(tǒng)一功能安全驗(yàn)證平臺(tái)為新方法的構(gòu)建提供了基礎(chǔ)。故障仿真固然重要,但其本身并不能解決一切問(wèn)題,尤其是在功能安全方面。未來(lái),開(kāi)發(fā)者們需要一個(gè)從架構(gòu)到綜合再到布局的完整解決方案,既要利用故障仿真,也要利用所有其他類(lèi)型的驗(yàn)證和調(diào)試功能,從而更好地幫助開(kāi)發(fā)者縮短芯片開(kāi)發(fā)周期,加速產(chǎn)品上市。

審核編輯:彭靜
聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49636

    瀏覽量

    417236
  • SoC設(shè)計(jì)
    +關(guān)注

    關(guān)注

    1

    文章

    147

    瀏覽量

    18720
  • 新思科技
    +關(guān)注

    關(guān)注

    5

    文章

    775

    瀏覽量

    50192
  • 應(yīng)用程序
    +關(guān)注

    關(guān)注

    37

    文章

    3198

    瀏覽量

    57364
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    從芯片到系統(tǒng)賦能創(chuàng)新:2024新思科技開(kāi)發(fā)者大會(huì)共創(chuàng)萬(wàn)物智能未來(lái)

    9月10日,芯片行業(yè)年度嘉年華“2024新思科技開(kāi)發(fā)者大會(huì)”在上海成功舉辦,匯聚全球科技領(lǐng)袖,與全場(chǎng)芯片開(kāi)發(fā)者起探討如何加速?gòu)男酒礁鼜V泛科技領(lǐng)域的創(chuàng)新,共創(chuàng)萬(wàn)物智能時(shí)代。 新思科
    發(fā)表于 09-11 10:45 ?210次閱讀
    從芯片到系統(tǒng)賦能創(chuàng)新:2024新<b class='flag-5'>思科技開(kāi)發(fā)者</b>大會(huì)共創(chuàng)萬(wàn)物智能未來(lái)

    思科技PCIe 7.0驗(yàn)證IP(VIP)的特性

    在近期的博文《新思科技率先推出PCIe 7.0 IP解決方案,加速HPC和AI等萬(wàn)億參數(shù)領(lǐng)域的芯片設(shè)計(jì)》中,新思科技宣布推出綜合全面的PCIe Express Gen 7(PCIe 7.0)驗(yàn)證IP(VIP)解決方案,以支持高性
    的頭像 發(fā)表于 07-24 10:11 ?339次閱讀
    新<b class='flag-5'>思科</b>技PCIe 7.0<b class='flag-5'>驗(yàn)證</b>IP(VIP)的特性

    大規(guī)模 SoC 原型驗(yàn)證面臨哪些技術(shù)挑戰(zhàn)?

    引言隨著電子設(shè)計(jì)自動(dòng)化(EDA)驗(yàn)證工具的重要性日益增加,開(kāi)發(fā)者們開(kāi)始尋求減少流片成本和縮短開(kāi)發(fā)周期的方法。其中,使用可編程邏輯芯片(FPGA)來(lái)構(gòu)建有效的驗(yàn)證流程成為
    的頭像 發(fā)表于 06-06 08:23 ?958次閱讀
    大規(guī)模 SoC 原型<b class='flag-5'>驗(yàn)證</b>面臨哪些技術(shù)挑戰(zhàn)?

    超星未來(lái)通過(guò) ISO 26262 功能安全管理體系 ASIL D 認(rèn)證

    100% 的通過(guò)率通過(guò)了功能安全工程師認(rèn)證。 ? ISO 26262 是全球公認(rèn)的汽車(chē)功能安全標(biāo)準(zhǔn),該標(biāo)準(zhǔn)涵蓋功能
    的頭像 發(fā)表于 04-18 09:38 ?249次閱讀
    超星未來(lái)通過(guò) ISO 26262 <b class='flag-5'>功能</b><b class='flag-5'>安全</b>管理體系 <b class='flag-5'>ASIL</b> D 認(rèn)證

    開(kāi)發(fā)者手機(jī) AI - 目標(biāo)識(shí)別 demo

    功能簡(jiǎn)介 該應(yīng)用是在Openharmony 4.0系統(tǒng)上開(kāi)發(fā)個(gè)目標(biāo)識(shí)別的AI應(yīng)用,旨在從上到下打通Openharmony AI子系統(tǒng),展示Openharmony系統(tǒng)的AI能力,并為
    發(fā)表于 04-11 16:14

    BYO、FPGA開(kāi)發(fā)板與商用,文詳解各類(lèi)原型驗(yàn)證

    幾十年來(lái),數(shù)字芯片設(shè)計(jì)復(fù)雜度不斷攀升,使芯片驗(yàn)證面臨資金與時(shí)間的巨大挑戰(zhàn)。在早期,開(kāi)發(fā)者為了驗(yàn)證芯片設(shè)計(jì)是否符合預(yù)期目標(biāo),不得不依賴(lài)于耗時(shí)的仿真結(jié)果或是等待實(shí)際芯片生產(chǎn)(流片)的成果。
    的頭像 發(fā)表于 04-02 08:22 ?403次閱讀
    BYO、FPGA<b class='flag-5'>開(kāi)發(fā)</b>板與商用,<b class='flag-5'>一</b>文詳解各類(lèi)原型<b class='flag-5'>驗(yàn)證</b>

    機(jī)智云開(kāi)發(fā)者中心:讓移動(dòng)APP應(yīng)用開(kāi)發(fā)更智能化

    在移動(dòng)應(yīng)用市場(chǎng)的蓬勃發(fā)展中,開(kāi)發(fā)者們面臨著不斷提高效率和創(chuàng)新的挑戰(zhàn)。為了幫助開(kāi)發(fā)者更好地實(shí)現(xiàn)移動(dòng)應(yīng)用開(kāi)發(fā)目標(biāo),機(jī)智云物聯(lián)網(wǎng)的新版
    的頭像 發(fā)表于 03-26 16:45 ?255次閱讀
    機(jī)智云<b class='flag-5'>開(kāi)發(fā)者</b>中心:讓移動(dòng)APP應(yīng)用<b class='flag-5'>開(kāi)發(fā)</b>更智能化

    HarmonyOS SDK,助力開(kāi)發(fā)者打造煥然新的鴻蒙原生應(yīng)用

    六大領(lǐng)域的開(kāi)發(fā)能力,為開(kāi)發(fā)者帶來(lái)簡(jiǎn)潔、高效的開(kāi)發(fā)體驗(yàn),開(kāi)發(fā)者只需通過(guò) API 調(diào)用即可實(shí)現(xiàn)豐富的鴻蒙原生應(yīng)用功能和獨(dú)特體驗(yàn)。同時(shí),在
    發(fā)表于 01-19 10:31

    基于功能安全的汽車(chē)嵌入式軟件單元驗(yàn)證技術(shù)研究

    ,對(duì)滿(mǎn)足功能安全ASIL等級(jí)的汽車(chē)嵌入式軟件單元驗(yàn)證技術(shù)進(jìn)行詳細(xì)介紹,從而提高軟件質(zhì)量,減少軟件安全隱患,對(duì)汽車(chē)嵌入式軟件
    的頭像 發(fā)表于 01-07 11:27 ?861次閱讀
    基于<b class='flag-5'>功能</b><b class='flag-5'>安全</b>的汽車(chē)嵌入式軟件單元<b class='flag-5'>驗(yàn)證</b>技術(shù)研究

    涂鴉開(kāi)發(fā)者平臺(tái)支持三方 IoT 平臺(tái)平滑遷移部署

    近期,大量開(kāi)發(fā)者在問(wèn)詢(xún)?nèi)绾芜w移至涂鴉IoT開(kāi)發(fā)者平臺(tái)。如何保障原先平臺(tái)上的存量設(shè)備和業(yè)務(wù)的正常運(yùn)作?如何選擇新的安全、穩(wěn)定、靈活、可控的云
    的頭像 發(fā)表于 12-30 08:15 ?837次閱讀
    涂鴉<b class='flag-5'>開(kāi)發(fā)者</b><b class='flag-5'>平臺(tái)</b>支持三方 IoT <b class='flag-5'>平臺(tái)</b>平滑遷移部署

    HooRii Console 開(kāi)啟內(nèi)測(cè)報(bào)名,和眾科技助力 Matter 開(kāi)發(fā)者

    Technology 提供了站式 Matter 解決方案及相關(guān)服務(wù),幫助開(kāi)發(fā)者低成本、高效率地完成 Matter 產(chǎn)品的開(kāi)發(fā)、測(cè)試、認(rèn)
    發(fā)表于 12-26 10:05 ?332次閱讀
    HooRii Console 開(kāi)啟內(nèi)測(cè)報(bào)名,和眾科技助力 Matter <b class='flag-5'>開(kāi)發(fā)者</b>

    【涂鴉T2-U開(kāi)發(fā)板試用體驗(yàn)】開(kāi)發(fā)者注冊(cè)&amp;產(chǎn)品固件下載

    ,點(diǎn)擊“同意協(xié)議并注冊(cè)”進(jìn)行注冊(cè)。 注冊(cè)完成首次登陸會(huì)有協(xié)議確認(rèn)頁(yè)面,根據(jù)提示操作即可 首次登陸會(huì)有操作指引,根據(jù)提示熟悉開(kāi)發(fā)平臺(tái)功能 最后步選擇
    發(fā)表于 12-17 23:03

    歡迎加入飛騰派開(kāi)發(fā)者社區(qū),感謝每開(kāi)發(fā)者!

    板緊密地結(jié)合在起,為開(kāi)發(fā)者提供了個(gè)展示和分享自己才華的平臺(tái)。 基于此次合作,飛騰(廣州)技術(shù)有限公司給華秋 · 電子發(fā)燒友發(fā)來(lái)了感謝信,這是對(duì)此次合作的充分肯定! 來(lái)自飛騰派項(xiàng)
    發(fā)表于 12-11 16:11

    【鴻蒙生態(tài)千帆起】HarmonyOS系統(tǒng)級(jí)地圖與位置服務(wù),賦能廣大開(kāi)發(fā)者

    HarmonyOS下地圖領(lǐng)域的最新技術(shù)探索與實(shí)踐成果。 得益于HarmonyOS開(kāi)發(fā)多端部署、可分合自由流轉(zhuǎn)、統(tǒng)一生態(tài)原生智能的特性,Petal Maps為
    發(fā)表于 11-22 10:37

    思科互操作工藝設(shè)計(jì)套件助力開(kāi)發(fā)者快速上手模擬設(shè)計(jì)

    思科技AI驅(qū)動(dòng)的設(shè)計(jì)解決方案實(shí)現(xiàn)電路優(yōu)化,在提高設(shè)計(jì)質(zhì)量的同時(shí),節(jié)省數(shù)周的手動(dòng)迭代時(shí)間 新思科互操作工藝設(shè)計(jì)套件(iPDK)適用于臺(tái)積公司所有FinFET先進(jìn)工藝節(jié)點(diǎn)的,助力
    的頭像 發(fā)表于 11-09 10:59 ?742次閱讀