0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA的虛擬時(shí)鐘用于什么地方?

OpenFPGA ? 來源:OpenFPGA ? 作者:OpenFPGA ? 2022-06-23 10:15 ? 次閱讀

??在我之前寫的FPGA時(shí)序約束教程中,有一篇中講到了虛擬時(shí)鐘

FPGA時(shí)序約束理論篇之時(shí)鐘周期約束


但文中對(duì)虛擬時(shí)鐘的應(yīng)用介紹的還不夠詳細(xì),因此這里我們?cè)賹?duì)虛擬時(shí)鐘做一個(gè)更加細(xì)致的介紹。

??首先,虛擬時(shí)鐘用于什么地方?

??虛擬時(shí)鐘通常用于設(shè)定輸入和輸出的延時(shí),即set_input_delayset_output_delay??赡苡型瑢W(xué)忘記這兩個(gè)約束的用法了,這里我們?cè)僬故疽幌拢?/p>

set_input_delay0.5-clockclkA[get_portsA]
set_output_delay1-clockclkB[get_portsB]

其中clkAclkB就是我們使用create_clock創(chuàng)建的主時(shí)鐘或者虛擬時(shí)鐘。

??主時(shí)鐘在創(chuàng)建的時(shí)候需要指定時(shí)鐘輸入端口,虛擬時(shí)鐘不需要指定端口,所以稱之為虛擬時(shí)鐘。那什么場景下要用到虛擬時(shí)鐘呢?

  1. 外部IO的參考時(shí)鐘并不是設(shè)計(jì)中的時(shí)鐘

下圖中,外部IO的參考時(shí)鐘比設(shè)計(jì)中主時(shí)鐘的路徑上多了一個(gè)BUFFER,因此我們需要使用虛擬時(shí)鐘來進(jìn)行約束。

320b7c64-f28d-11ec-ba43-dac502259ad0.png

create_clock-namesysclk-period10[get_portsclkin]
create_clock-namevirtclk-period10
set_clock_latency-source1[get_clockvirtclk]
set_input_delay-clockvirtclk-max4[get_portsdina]
set_input_delay-clockvirtclk-min2[get_portsdina]
  1. FPGA I/O路徑參考時(shí)鐘來源于內(nèi)部衍生時(shí)鐘,但與主時(shí)鐘的頻率關(guān)系并不是整數(shù)倍

如果I/O路徑參考時(shí)鐘源于內(nèi)部的衍生時(shí)鐘,那set_input_delayset_output_delay約束中-clock參數(shù)后的時(shí)鐘不能是衍生時(shí)鐘,比如下圖的例子中,輸入10MHz時(shí)鐘經(jīng)過了MMCM后去采輸入的數(shù)據(jù)。

32269c7e-f28d-11ec-ba43-dac502259ad0.pngimage-20211206203529124

a) 如果MMCM沒有負(fù)的相移而且輸出的頻率也是10MHz,那么直接使用主時(shí)鐘來約束input delay。

create_clock-period100.000-nameclk[get_portsclk]
set_input_delay-clockclk-max2.000[get_portsdatain]
set_input_delay-clockclk-min1.000[get_portsdatain]

b) 如果MMCM輸出頻率是60MHz,那么這個(gè)衍生時(shí)鐘跟主時(shí)鐘并不是整數(shù)倍關(guān)系,這時(shí)就需要用到虛擬時(shí)鐘了

create_clock-period100.000-nameclk[get_portsclk]
create_clock-nameclk_port_vclk-period16.67
set_input_delay-clockclk_port_vclk-max2[get_portsdatain]
set_input_delay-clockclk_port_vclk-min1[get_portsdatain]
  1. 在不修改時(shí)鐘特性的情況下針對(duì)I/O指定不同的jitter和latency

這個(gè)需求我們可以在Constraints Wizards中指定,簡單又方便

3233a7b6-f28d-11ec-ba43-dac502259ad0.pngimage-20211206212729797

在設(shè)置完成后,可以看到Tcl Command Preview中提示的約束指令。

審核編輯 :李倩


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598901
  • 虛擬時(shí)鐘
    +關(guān)注

    關(guān)注

    0

    文章

    4

    瀏覽量

    6562

原文標(biāo)題:FPGA的虛擬時(shí)鐘如何使用?

文章出處:【微信號(hào):Open_FPGA,微信公眾號(hào):OpenFPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    110配線架可用于什么地方?rj4模塊化配線架可用于什么地方?

    110配線架和RJ45模塊化配線架都是網(wǎng)絡(luò)綜合布線中的重要組成部分,它們各自具有特定的應(yīng)用場景和功能。 110配線架可用于: 計(jì)算機(jī)網(wǎng)絡(luò):在大型數(shù)據(jù)網(wǎng)絡(luò)中,110配線架能夠建立和管理配線系統(tǒng),確保
    的頭像 發(fā)表于 09-11 09:55 ?130次閱讀

    FPGA如何消除時(shí)鐘抖動(dòng)

    FPGA(現(xiàn)場可編程門陣列)設(shè)計(jì)中,消除時(shí)鐘抖動(dòng)是一個(gè)關(guān)鍵任務(wù),因?yàn)?b class='flag-5'>時(shí)鐘抖動(dòng)會(huì)直接影響系統(tǒng)的時(shí)序性能、穩(wěn)定性和可靠性。以下將詳細(xì)闡述FPGA中消除
    的頭像 發(fā)表于 08-19 17:58 ?420次閱讀

    MAX13085EESA+與MAX13485EESA+的差異在什么地方?

    MAX13085EESA+與MAX13485EESA+,這兩款同系列的8SO芯片的差異在什么地方,是否可以互相替代
    發(fā)表于 05-23 08:10

    FPGA時(shí)鐘電路結(jié)構(gòu)原理

    FPGA 中包含一些全局時(shí)鐘資源。以AMD公司近年的主流FPGA為例,這些時(shí)鐘資源由CMT(時(shí)鐘管理器)產(chǎn)生,包括DCM、PLL和MMCM等
    發(fā)表于 04-25 12:58 ?1393次閱讀
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>時(shí)鐘</b>電路結(jié)構(gòu)原理

    怎么將變量(如const 修飾的變量)統(tǒng)一放到某個(gè)地址區(qū)域里,應(yīng)該在什么地方修改?

    怎么將變量(如const 修飾的變量)統(tǒng)一放到某個(gè)地址區(qū)域里,應(yīng)該在什么地方修改?
    發(fā)表于 02-18 06:22

    時(shí)鐘Buffer芯片是什么?其作用是啥?它被用在什么地方

    時(shí)鐘Buffer芯片是什么?其作用是啥?它被用在什么地方時(shí)鐘Buffer芯片是一種用于管理和增強(qiáng)電子設(shè)備中的時(shí)鐘信號(hào)的集成電路。
    的頭像 發(fā)表于 01-16 15:10 ?3206次閱讀

    FPGA時(shí)鐘的用法

    生成時(shí)鐘包括自動(dòng)生成時(shí)鐘(又稱為自動(dòng)衍生時(shí)鐘)和用戶生成時(shí)鐘。自動(dòng)生成時(shí)鐘通常由PLL或MMCM生成,也可以由具有分頻功能的
    的頭像 發(fā)表于 01-11 09:50 ?1403次閱讀
    <b class='flag-5'>FPGA</b>中<b class='flag-5'>時(shí)鐘</b>的用法

    高壓放大器用于什么地方使用

    高壓放大器是一種電子設(shè)備,用于將低電壓信號(hào)放大到高電壓水平。它主要應(yīng)用于需要高電壓的領(lǐng)域,下面將詳細(xì)介紹其主要應(yīng)用地方。 科研實(shí)驗(yàn)室:科研實(shí)驗(yàn)室通常需要進(jìn)行高電壓實(shí)驗(yàn),例如粒子加速器、高壓放電實(shí)驗(yàn)等
    的頭像 發(fā)表于 11-30 10:42 ?271次閱讀
    高壓放大器<b class='flag-5'>用于</b><b class='flag-5'>什么地方</b>使用

    cpld的外接有源晶振頻率大小從什么地方看?

    我想問一下altera系列CPLD芯片支持的外接的晶振的最大時(shí)鐘頻率從手冊(cè)的什么地方能查到,有那個(gè)高手能跟我講一下的?
    發(fā)表于 11-06 07:49

    請(qǐng)問軟件串口比較適合用在什么地方上?

    軟件串口比較適合用在什么地方上?
    發(fā)表于 11-02 06:59

    FPGA為什么有時(shí)候還需要一個(gè)時(shí)鐘配置芯片提供時(shí)鐘呢?

    FPGA為什么有時(shí)候還需要一個(gè)時(shí)鐘配置芯片提供時(shí)鐘呢? FPGA(Field Programmable Gate Array)是一種可編程邏輯器件,可以根據(jù)不同需要編程,實(shí)現(xiàn)不同的功能
    的頭像 發(fā)表于 10-25 15:14 ?1488次閱讀

    stm8復(fù)位電路電容選擇要注意什么地方

    stm8復(fù)位電路電容選擇要注意什么地方
    發(fā)表于 10-23 07:23

    fpga與dsp通訊怎樣同步時(shí)鐘頻率?dsp和fpga通信如何測試?

    fpga與dsp通訊怎樣同步時(shí)鐘頻率?dsp和fpga通信如何測試? 在FPGA與DSP通訊時(shí),同步時(shí)鐘頻率非常重要,因?yàn)椴煌脑O(shè)備有不同的
    的頭像 發(fā)表于 10-18 15:28 ?1629次閱讀

    在使用和訪問數(shù)組的時(shí)候需要注意些什么地方?

    在使用和訪問數(shù)組的時(shí)候需要注意些什么地方
    發(fā)表于 10-10 07:41

    ST的LL庫在使用的過程中需要注意些什么地方

    ST的LL庫在使用的過程中需要注意些什么地方
    發(fā)表于 10-09 06:48