0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

在SpinalHDL中的對應(yīng)關(guān)系及聲明形式

FPGA之家 ? 來源:似猿非猿的FPGA ? 作者:似猿非猿的FPGA ? 2022-07-03 11:02 ? 次閱讀

針對SpinalHDL中的兩大類型Reg、Wire,來梳理下在SpinalHDL中的對應(yīng)關(guān)系及聲明形式。

Wire

在編寫Verilog時,reg、wire是我們經(jīng)常用到的變量聲明類型。wire類型變量常用于描述組合邏輯。而Reg則用于描述時序邏輯。在SpinalHDL中,其定義了Bool、Bits、UInt、SInt、Vec等數(shù)據(jù)類型。當(dāng)我們聲明一個數(shù)據(jù)類型變量時其默認(rèn)均為線網(wǎng)類型:

aeee314c-f678-11ec-ba43-dac502259ad0.png

在上面的代碼中,我們聲明了端口dataIn、dataOut、其默認(rèn)均對應(yīng)著RTL中的Wire類型:

af0096a2-f678-11ec-ba43-dac502259ad0.png

Reg

Reg類型變量常用于時序邏輯,在SpinalHDL中,將數(shù)據(jù)類型聲明為Reg類型的方式有:

af0d5ca2-f678-11ec-ba43-dac502259ad0.png

SpinalHDL提供了四種類型聲明寄存器的方式,根據(jù)不同的場景需求,我們可以四選一選擇最合適的(都是基于Reg一步步封裝的)。 除此之外,由于SpinalHDL中默認(rèn)為Wire類型,而SpinalHDL為其都提供了setAsReg()方法來標(biāo)注為寄存器類型,同時提供Init(resetValue)方法來做初始化。因此想上面的那個例子我們想寄存器打一拍我們可以這么來寫:

case class regDemo() extends Component{  val io=new Bundle{    val dataIn=in UInt(8 bits)    val dataOut=out UInt(8 bits)  }  val regTemp=Reg(UInt(8 bits)) init(0)  regTemp:=io.dataIn  io.dataOut:=regTemp}
也可以這么來寫:

case class regDemo() extends Component{  val io=new Bundle{    val dataIn=in UInt(8 bits)    val dataOut=out UInt(8 bits)  }  val regTemp=RegInit(U(0,8 bits))  regTemp:=io.dataIn  io.dataOut:=regTemp}
還可以這么寫:

case class regDemo() extends Component{  val io=new Bundle{    val dataIn=in UInt(8 bits)    val dataOut=out UInt(8 bits)  }  io.dataOut:=RegNext(io.dataIn).init(0)}
甚至可以這么寫:

case class regDemo() extends Component{  val io=new Bundle{    val dataIn=in UInt(8 bits)    val dataOut=out UInt(8 bits) setAsReg() init(0)  }  io.dataOut:=io.dataIn} 

時序調(diào)整很容易

在我們編寫RTL代碼時,當(dāng)時序存在問題時需要我們調(diào)整時序時是很痛苦的,因為無論是Verilog還是SystemVerilog代碼,在較長組合邏輯之間添加一級寄存器往往需要改動較多的點,還需要仔細(xì)的評估。稍不注意就是時序沒調(diào)整好,功能先出問題了(主要在于代碼太長,更改需慎之又慎)。 而在SpinalHDL里,時序調(diào)整可以做到簡潔而優(yōu)雅。 在我們之前用SpinalHDL做Sobel圖像處理算法時有這么一段代碼:

af1f1ec4-f678-11ec-ba43-dac502259ad0.png

在卷積核計算處理時這里存在較多的組合邏輯延遲,會成為系統(tǒng)時序瓶頸點。當(dāng)我們想向更高的頻率去跑時這里便需要插入寄存器。想想看這里如果是用Verilog來寫時我們插入寄存器不僅要計算清楚中間寄存器的位寬,同時也需要改多行代碼,還要小心翼翼的改寫。 而在SpinalHDL里,我們這里插入寄存器調(diào)整時序很容易!我們可以通過調(diào)用regNext很容易地插入一級寄存器:

af3cc118-f678-11ec-ba43-dac502259ad0.png

如此我們便可以輕松地優(yōu)化時序,誰還說時序調(diào)整是個體力活兒呢??? 而針對帶握手信號的時序打拍優(yōu)化,SpinalHDL也有相關(guān)的Lib供調(diào)用可以快捷地優(yōu)化處理。

原文標(biāo)題:SpinalHDL—Reg&Wire

文章出處:【微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

審核編輯:彭靜
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5250

    瀏覽量

    119195
  • Reg
    Reg
    +關(guān)注

    關(guān)注

    0

    文章

    20

    瀏覽量

    11454
  • 代碼
    +關(guān)注

    關(guān)注

    30

    文章

    4670

    瀏覽量

    67764
  • 時序邏輯
    +關(guān)注

    關(guān)注

    0

    文章

    39

    瀏覽量

    9128

原文標(biāo)題:SpinalHDL—Reg&Wire

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    SpinalHDL如何快速地實現(xiàn)總線連接

    教你SpinalHDL總線連接時針對總線的部分信號位寬不同時的如何快速地實現(xiàn)總線連接。
    發(fā)表于 11-28 15:48 ?733次閱讀

    SpinalHDL里switch方法有何用處呢

    ,當(dāng)我們需要根據(jù)tkeep信號來計算這一拍有多少有效數(shù)據(jù)時這里的代碼會是什么樣子……這種代碼寫的手有點兒累(又沒啥技術(shù)含量)……SpinalHDL里該如何做呢?switchSpinalHDL提供了
    發(fā)表于 06-22 14:25

    SpinalHDL里實現(xiàn)優(yōu)雅的添加待跟蹤波形信號

    時會同時生成用于生成相應(yīng)ILa IP的tcl腳本,從而能夠輕松的SpinalHDL實現(xiàn)對待跟蹤波形信號的抓取實現(xiàn)。下面一段代碼展示了如何在SpinalHDL里添加ILA:在上述代碼
    發(fā)表于 06-22 14:37

    SpinalHDL的設(shè)計中集成現(xiàn)有RTL設(shè)計(IP)的渠道——BlackBox

    接口及parameter參數(shù)(這也是我們RTL里例化IP時常用的)。我們來看SpinalHDL-doc給出的example:整個代碼里做了三件事:參數(shù)聲明、端口聲明,時鐘域映射。參數(shù)
    發(fā)表于 06-22 14:59

    談?wù)?b class='flag-5'>SpinalHDLStreamCCByToggle組件設(shè)計不足的地方

    到ack為低電平即可處理新的任務(wù)。  寫在最后  關(guān)于跨時鐘域處理處理上相對來講還是一個易錯點,其處理也是新學(xué)者需要好好把握的。SpinalHDL的源代碼還是很值得一讀的。一方面
    發(fā)表于 06-30 15:11

    SpinalHDL關(guān)于casez的使用

    SpinalHDL的switch之前的文章中曾提到過SpinalHDLswitch的使用:通常情況下,switch
    發(fā)表于 07-06 10:59

    分享一個SpinalHDL里apply的有趣用法

    接口相關(guān)的時序操作均封裝在flushRx并將接口的賦值封裝成函數(shù)的形式供其他人調(diào)用,從而將算法設(shè)計與接口時序分離。這里看一個簡單的例子:代碼本身意義不大,這里我們將對接口c的時序操作均放置
    發(fā)表于 07-19 15:08

    SpinalHDL的代碼組織結(jié)構(gòu)如何實現(xiàn)Component參數(shù)化設(shè)計呢

    ,SpinalHDL在生成RTL時,會檢測Bundle的所有元素是否都聲明了端口方向。有一點是像上面的MyAdder將端口聲明放在Bun
    發(fā)表于 07-21 14:20

    請問SpinalHDL的Area到底是什么意思

    (僅限于純組合邏輯)。AreaSpinalHDKL里,與Verilog、SystemVerilog對應(yīng)的概念是Component,當(dāng)我們的類繼承了Component后,與之相應(yīng)的我們就要定義端口
    發(fā)表于 07-22 14:22

    SpinalHDL仿真信號的驅(qū)動實現(xiàn)

    梳理基本完畢,下文將以一個小的例子為demo構(gòu)建一個完整的個人覺得不錯的SpinalHDL仿真環(huán)境書寫方式。原作者:玉騏
    發(fā)表于 07-27 14:37

    記錄一個使用BlackBoxparameter踩到的坑

    》踩到的坑很早之前,曾寫過如何在SpinalHDL例化之前用Verilog/SystemVerilog所寫的代碼,可參照文章《[SpinalHDL——集成你的RTL代碼]》一文。
    發(fā)表于 08-31 14:58

    看下在SpinalHDL中常見的位拼接符的使用

    信號的不同bit賦值給不同的信號,這種拼接方式可以SpinalHDL按照如下的形式進(jìn)行書寫:case class demo() extends Component{val
    發(fā)表于 11-18 15:21

    SpinalHDLBundle數(shù)據(jù)類型的轉(zhuǎn)換

    SpinalHDLBundle與SystemVerilog的packed struct很像,某些場景下,與普通數(shù)據(jù)類型之間的連接賦值可以通過asBits,assignFromBi
    的頭像 發(fā)表于 10-17 09:51 ?1189次閱讀

    SpinalHDL如何將ROM的初始化放置RTL文件

    默認(rèn)情況下,SpinalHDL在生成代碼時會同時生成一個bin文件及一個RTL代碼文件。RTL代碼,會通過readmemb函數(shù)來載入初始化內(nèi)容
    的頭像 發(fā)表于 04-01 15:27 ?808次閱讀

    淺析SpinalHDLPipeline的復(fù)位定制

    之前有系列文章介紹了SpinalHDLPipeline的使用,最近在一個功能模塊真實的使用了這個lib。
    的頭像 發(fā)表于 03-17 17:31 ?844次閱讀
    淺析<b class='flag-5'>SpinalHDL</b><b class='flag-5'>中</b>Pipeline<b class='flag-5'>中</b>的復(fù)位定制