0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Vivado—DCP復(fù)用

FPGA之家 ? 來源:FPGA之家 ? 作者:FPGA之家 ? 2022-07-04 09:37 ? 次閱讀

先看一個簡單的example project,里面包含兩個verilog文件:

84fb2484-fb2e-11ec-ba43-dac502259ad0.png

858547b8-fb2e-11ec-ba43-dac502259ad0.png

在頂層top里,我們例化了一個adder模塊,adder為一個簡單的加法器。當(dāng)我們把這兩個文件導(dǎo)入VIvado后即可進(jìn)行正常的布局布線。

那么問題來了,假設(shè)adder是我的一個核心設(shè)計(加法器代表一下哈),當(dāng)其他人想使用我這個adder IP時我不想交付給對方源代碼,那么我應(yīng)該如何做呢?

交付DCP!

何為DCP

在Vivado的設(shè)計流程各個階段里,采用統(tǒng)一的數(shù)據(jù)模型:DCP(design checkpoint),在Vivado的設(shè)計流程里,無論是綜合還是布局布線的各個階段,工具都會生成DCP文件,每一步的執(zhí)行設(shè)計輸入均為上一階段的DCP文件(綜合階段除外)。那么當(dāng)我們想把a(bǔ)dder以網(wǎng)表形式進(jìn)行交付時,我們就可以生成對應(yīng)的綜合后的DCP文件,隨后將DCP文件交付給合作方即可。

甲方:DCP制作流程

在我們的設(shè)計中,我們把a(bǔ)dder這個模塊作為設(shè)計的頂層:

85b07cb2-fb2e-11ec-ba43-dac502259ad0.png

隨后進(jìn)行綜合,當(dāng)完成后,我們會在對應(yīng)的目錄下看到生成了adder的DCP文件:

85ddcef6-fb2e-11ec-ba43-dac502259ad0.png

同時,我們準(zhǔn)備一個我們adder對應(yīng)的設(shè)計頂層:

85feebd6-fb2e-11ec-ba43-dac502259ad0.png

這里的adder模塊不包含任何設(shè)計代碼,僅包含端口聲明。同時我們將這個模塊聲明為“black_box”

隨后,我們就可以把這個DCP文件和black box文件交付給別人使用了,而不用擔(dān)心我們的源代碼泄漏。

乙方:DCP的使用

當(dāng)我們拿到別人的DCP文件和black box端口聲明文件后,我們還需要準(zhǔn)備一個tcl文件:

861c70ca-fb2e-11ec-ba43-dac502259ad0.png

tcl文件中制定了adder.dcp的讀取位置。

隨后,我們將balck box文件和tcl文件導(dǎo)入到我們的設(shè)計中,top.v文件保持不變:

8631a198-fb2e-11ec-ba43-dac502259ad0.png

隨后我們在Implement Setting中的opt_Desing中的tcl.pre中關(guān)聯(lián)導(dǎo)入的balck.tcl文件:

864a4b26-fb2e-11ec-ba43-dac502259ad0.png

Finally,我們就可以進(jìn)行正常的綜合及布局布線了,布局布線完成后我們得到的網(wǎng)表和正常工程一模一樣。

867a4e16-fb2e-11ec-ba43-dac502259ad0.png

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • DCP
    DCP
    +關(guān)注

    關(guān)注

    0

    文章

    28

    瀏覽量

    17140
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65854

原文標(biāo)題:Vivado—DCP復(fù)用

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    Vivado 2024.1版本的新特性(2)

    從綜合角度看,Vivado 2024.1對SystemVerilog和VHDL-2019的一些特性開始支持。先看SystemVerilog。
    的頭像 發(fā)表于 09-18 10:34 ?119次閱讀
    <b class='flag-5'>Vivado</b> 2024.1版本的新特性(2)

    Vivado 2024.1版本的新特性(1)

    Vivado 2024.1已正式發(fā)布,今天我們就來看看新版本帶來了哪些新特性。
    的頭像 發(fā)表于 09-18 10:30 ?153次閱讀
    <b class='flag-5'>Vivado</b> 2024.1版本的新特性(1)

    數(shù)字式程序調(diào)節(jié)器DCP31使用說明書

    電子發(fā)燒友網(wǎng)站提供《數(shù)字式程序調(diào)節(jié)器DCP31使用說明書.pdf》資料免費(fèi)下載
    發(fā)表于 09-04 11:22 ?0次下載

    密集波分復(fù)用和稀疏波分復(fù)用的區(qū)別

    密集波分復(fù)用(DWDM, Dense Wavelength Division Multiplexing)和稀疏波分復(fù)用(CWDM, Coarse Wavelength Division
    的頭像 發(fā)表于 08-09 11:18 ?271次閱讀

    具有 DCP 和 CDP 控制器的 TUSB217A USB 2.0 高速信號調(diào)節(jié)器數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《具有 DCP 和 CDP 控制器的 TUSB217A USB 2.0 高速信號調(diào)節(jié)器數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 06-27 10:02 ?0次下載
    具有 <b class='flag-5'>DCP</b> 和 CDP 控制器的 TUSB217A USB 2.0 高速信號調(diào)節(jié)器數(shù)據(jù)表

    光纖是波分復(fù)用還是頻分復(fù)用?波分復(fù)用和頻分復(fù)用有什么不同?

    光纖通信中通常使用的是波分復(fù)用(WDM),它是一種在光纖中利用不同波長的光信號來實(shí)現(xiàn)多信道復(fù)用的技術(shù)。
    的頭像 發(fā)表于 05-12 15:22 ?787次閱讀

    CWDM(粗波分復(fù)用)和DWDM(密集波分復(fù)用)有什么區(qū)別?

    波分復(fù)用(WDM),包括CWDM(粗波分復(fù)用)和DWDM(密集波分復(fù)用)等。是指將多個不同波長的信號耦合在一條光纖上同時傳輸。
    的頭像 發(fā)表于 05-01 16:30 ?2182次閱讀
    CWDM(粗波分<b class='flag-5'>復(fù)用</b>)和DWDM(密集波分<b class='flag-5'>復(fù)用</b>)有什么區(qū)別?

    Vivado 使用Simulink設(shè)計FIR濾波器

    ,用戶可直接在simulink下綜合出網(wǎng)表和約束文件,打包至.dcp文件中,用戶可在vivado下直接加載dcp文件調(diào)用模型。 4、直接在vivado中添加模型文件(推薦)。
    發(fā)表于 04-17 17:29

    使用P4和Vivado工具簡化數(shù)據(jù)包處理設(shè)計

    電子發(fā)燒友網(wǎng)站提供《使用P4和Vivado工具簡化數(shù)據(jù)包處理設(shè)計.pdf》資料免費(fèi)下載
    發(fā)表于 01-26 17:49 ?0次下載
    使用P4和<b class='flag-5'>Vivado</b>工具簡化數(shù)據(jù)包處理設(shè)計

    什么是時分復(fù)用TDM?時分復(fù)用類型 時分復(fù)用優(yōu)劣勢

    什么是時分復(fù)用TDM?時分復(fù)用類型 時分復(fù)用優(yōu)劣勢? 時分復(fù)用TDM是一種常見的多路復(fù)用技術(shù),用于將多個低速信號合并成一個高速信號在傳輸線路
    的頭像 發(fā)表于 01-16 16:03 ?1472次閱讀

    如何禁止vivado自動生成 bufg

    Vivado中禁止自動生成BUFG(Buffered Clock Gate)可以通過以下步驟實(shí)現(xiàn)。 首先,讓我們簡要了解一下什么是BUFG。BUFG是一個時鐘緩沖器,用于緩沖輸入時鐘信號,使其更穩(wěn)
    的頭像 發(fā)表于 01-05 14:31 ?1453次閱讀

    Vivado時序問題分析

    有些時候在寫完代碼之后呢,Vivado時序報紅,Timing一欄有很多時序問題。
    的頭像 發(fā)表于 01-05 10:18 ?1432次閱讀

    VIVADO安裝問題解決

    vivado出現(xiàn)安裝問題剛開始還以為是安裝路徑包含中文空格了,重裝的注意了一下,發(fā)現(xiàn)還是這個問題。。。。后來又一頓操作猛如虎,終于發(fā)現(xiàn)了問題。出這個問題的原因是vivado壓縮包解壓的路徑包含中文了把解壓文件放到不含中文的地方,再重新安裝,安裝路徑也不能含中文。然后。。。
    發(fā)表于 12-22 10:56 ?0次下載

    VIVADO軟件使用問題總結(jié)

    【關(guān)鍵問題?。。?!重要!?。 ?b class='flag-5'>VIVADO會在MESSAGE窗口出提示很多錯誤和警告信息!
    的頭像 發(fā)表于 12-15 10:11 ?1531次閱讀
    <b class='flag-5'>VIVADO</b>軟件使用問題總結(jié)

    使用Vivado高層次綜合(HLS)進(jìn)行FPGA設(shè)計的簡介

    電子發(fā)燒友網(wǎng)站提供《使用Vivado高層次綜合(HLS)進(jìn)行FPGA設(shè)計的簡介.pdf》資料免費(fèi)下載
    發(fā)表于 11-16 09:33 ?0次下載
    使用<b class='flag-5'>Vivado</b>高層次綜合(HLS)進(jìn)行FPGA設(shè)計的簡介