0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

Verilog HDL語言的數(shù)據(jù)類型和運算符

FPGA之家 ? 來源:FPGA之家 ? 作者:FPGA之家 ? 2022-07-04 10:50 ? 次閱讀

1、標志符

標識符可以是一組字母、數(shù)字、下劃線和$符號的組合,且標識符的第一個字符必須是字母或者下劃線。另外,標識符區(qū)分大小寫。要注意的是Verilog HDL定義了一系列保留字作為關鍵字,但只要小寫的關鍵字才是保留字,所以對于不確定是否為保留字,可以將標識符首字母大寫。

2、數(shù)據(jù)類型

1、wire型

Verilog程序模塊中輸入、輸出信號類型默認時自定義為wire型。

wire型信號的定義格式如下:

wire[n-1:0] 數(shù)據(jù)名1,數(shù)據(jù)名2,。..數(shù)據(jù)名N;

總共定義了N條線,每條線的位寬為n。

2、reg型

reg是寄存器數(shù)據(jù)類型的關鍵字,是數(shù)據(jù)存儲單元的抽象,通過賦值語句可以改變寄存器存儲的值。reg型數(shù)據(jù)常用來表示always模塊內(nèi)的指定信號,在always塊內(nèi)被賦值的每一個信號都必須定義為reg型。

reg型信號的定義格式如下:

wire[n-1:0] 數(shù)據(jù)名1,數(shù)據(jù)名2,。..數(shù)據(jù)名N;

總共定義了N個寄存器變量,每條線的位寬為n。

3、memory型

Verilog通過對reg型變量建立數(shù)組來對存儲器建模,memory型通過擴展reg型數(shù)據(jù)的地址范圍來達到二維數(shù)組的效果。其定義的格式如下:

reg[n-1:0] 存儲器名 [m-1:0];

其中,reg[n-1:0]定義了每一個存儲器中每一個存儲單元的大小;存儲器后面的[m-1:0]則定義了存儲器的大小。

eg:reg [15:0] ROMA [7:0];

即定義了一個存儲位寬為16位,存儲深度為8的一個存儲器。

要注意的是,一個n位的寄存器可以在一條賦值語句中直接進行賦值,而一個完整的存儲器則不行。如果要對memory型存儲單元進行讀寫,則必須要指明地址。

4、parameter型

在Verilog HDL中用parameter來定義常量。

parameter型信號的定義格式如下:

parameter 參數(shù)名1=數(shù)據(jù)名1;

3、模塊端口

1、input:模塊從外界讀取數(shù)據(jù)的接口,在模塊內(nèi)不可寫。

2、output:模塊往外界送出數(shù)據(jù)的接口,在模塊內(nèi)不可讀。

3、inout:可讀取數(shù)據(jù)也可送出數(shù)據(jù),數(shù)據(jù)可雙向流動。

4、常量集合

Verilog HDL有四種基本數(shù)值:

(1)0:邏輯0或“假”;

(2)1:邏輯1或“真”;

(3)x:未知;

(4)z:高阻。

1、整數(shù)

整數(shù)型可以按如下兩種方式書寫:簡單的十進制數(shù)格式及基數(shù)表示格式。

(1)簡單的十進制數(shù)格式

eg:45 -46

負數(shù)以補碼表示。

(2)基數(shù)表示格式

基數(shù)表示的整數(shù)格式為:

[長度]‘基數(shù) 數(shù)值

eg:6’b9 5‘o9 9’d6

2、實數(shù)

(1)十進制計數(shù)法

eg:2.0 16539.236

(2)科學計數(shù)法

eg:235.12e2 5e-4

其中,e與E相同。

3、字符串

字符串是雙引號內(nèi)的字符序列,字符串不能分成多行書寫。字符串是8位ASCLL值的序列。

eg:reg[1:8*7]Char;

Char=“counter”

5、運算符和表達式

1、基本算術(shù)運算符

包含:+、-、*、/、%

其中,取模運算(%)結(jié)果的符號位和模運算的第一個操作數(shù)的符號保持一致(按照無符號進行運算,再對結(jié)果添加符號)。

2、賦值運算符

賦值運算分為連續(xù)賦值和過程賦值兩種。

(1)連續(xù)賦值

連續(xù)賦值語句只能用來對線網(wǎng)型變量進行賦值,而不能對寄存器變量進行賦值,在實際使用時,有以下幾種應用:

I.對標量線網(wǎng)型賦值

wire a,b;

assign a=b;

II.對矢量線網(wǎng)型賦值

wire [7:0] a,b;

assign a=b;

III.對矢量線網(wǎng)型中的某一位賦值

wire [7:0] a,b;

assign a[3]=b[1];

IV.對矢量線網(wǎng)型中的某幾位賦值

wire [7:0] a,b;

assign a[3:0]=b[3:0];

V.對任意拼接的線網(wǎng)型賦值

wire a,b;

wire [1:0] c;

assign c={a,b};

(2)過程賦值

過程賦值主要用于兩種結(jié)構(gòu)化模塊(initial模塊和always模塊)中的賦值語句,在過程塊中只能使用過程賦值語句,不能出現(xiàn)連續(xù)賦值語句。其中,賦值操作符有兩種:“=”和“《=”,分別代表阻塞賦值和非阻塞賦值。

過程賦值語句只能對寄存器類型的變量(reg、integer、real和time)進行操作。對于存儲器類型,只能對指定地址單元的整個字進行賦值,不能對其中某些位單獨賦值。

3、關系運算符

關系運算符共8種:》、》=、《、《=、==(邏輯相等)、!=(邏輯不相等)、===(實例相等)、!==(實例不相等)。

實例算子===和!==可以比較含有X和Z的操作數(shù)。

4、邏輯運算符

(1)&& 邏輯與

(2)|| 邏輯或

(3)!邏輯非

5、條件運算符

三目運算符,格式如下:

y = x ? a : b;

若第一個操作數(shù)y=x為True,算子返回第二個操作數(shù)a,否則返回第三個操作數(shù)b。

6、位運算符

按位進行邏輯運算,共有7中邏輯運算符:

~非、&與、|或、^異或、^~同或、~&與非、|~或非。

如果兩個操作數(shù)的長度不相等,將會對較短的數(shù)高位補零,使輸出結(jié)果的長度與位寬較長的操作數(shù)的長度保持一致。

7、移位運算符

左移《《一位相當于乘2,右移一位相當于除2.

s《《N表示左移N位,且都用0來填補移出的空位。

8、一元約簡運算符

單目運算符。其運算過程為:首先將操作數(shù)的第一位與第二位進行與、或、非運算;然后再將結(jié)果和第三位進行與、或、非運算,以此類推,直至最后一位。

eg:reg [3:0] s1;

reg s2;

s2=&s1;

原文標題:Verilog HDL語言(1) : Verilog HDL語言的數(shù)據(jù)類型和運算符

文章出處:【微信公眾號:FPGA之家】歡迎添加關注!文章轉(zhuǎn)載請注明出處。

審核編輯:彭靜
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 寄存器
    +關注

    關注

    31

    文章

    5253

    瀏覽量

    119205
  • 運算符
    +關注

    關注

    0

    文章

    166

    瀏覽量

    11013
  • Verilog HDL
    +關注

    關注

    17

    文章

    125

    瀏覽量

    50317

原文標題:Verilog HDL語言(1) : Verilog HDL語言的數(shù)據(jù)類型和運算符

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關推薦

    技術(shù)干貨驛站 ▏深入理解C語言:編程高手必備,全方位解析運算符的核心技能!

    在C語言的編程領域中,運算符是實現(xiàn)數(shù)據(jù)處理與邏輯操作的關鍵工具。無論是在處理簡單的數(shù)值計算,還是在構(gòu)建復雜的邏輯結(jié)構(gòu)時,運算符的使用貫穿始終。作為編程
    的頭像 發(fā)表于 09-18 15:56 ?94次閱讀
    技術(shù)干貨驛站 ▏深入理解C<b class='flag-5'>語言</b>:編程高手必備,全方位解析<b class='flag-5'>運算符</b>的核心技能!

    c語言從右到左的運算符有哪些

    以下是一些主要的從右到左運算的C語言運算符: 函數(shù)調(diào)用運算符 ( () ):當您調(diào)用一個函數(shù)時,參數(shù)列表是從右到左進行求值的。 后置遞增運算符
    的頭像 發(fā)表于 08-20 11:39 ?277次閱讀

    Golang為何舍棄三元運算符

    golang中不存在?:運算符的原因是因為語言設計者已經(jīng)預見到三元運算符經(jīng)常被用來構(gòu)建一些極其復雜的表達式。雖然使用if進行替代會讓代碼顯得更長,但這毫無疑問可讀性更強。
    的頭像 發(fā)表于 04-03 15:13 ?575次閱讀

    鴻蒙TypeScript入門學習第5天:【TypeScript 運算符

    運算符用于執(zhí)行程序代碼運算,會針對一個以上操作數(shù)項目來進行運算。
    的頭像 發(fā)表于 04-01 16:18 ?298次閱讀
    鴻蒙TypeScript入門學習第5天:【TypeScript <b class='flag-5'>運算符</b>】

    C語言數(shù)據(jù)類型有哪些

    在 C 語言中,數(shù)據(jù)類型指的是用于聲明不同類型的變量或函數(shù)的一個廣泛的系統(tǒng)。變量的類型決定了變量存儲占用的空間,以及如何解釋存儲的位模式。
    發(fā)表于 03-20 10:56 ?348次閱讀
    C<b class='flag-5'>語言</b><b class='flag-5'>數(shù)據(jù)類型</b>有哪些

    邏輯運算符兩側(cè)運算對象的數(shù)據(jù)類型

    邏輯運算符是編程中常用的運算符之一,用于判斷兩個表達式之間的關系,并返回布爾值結(jié)果。在邏輯運算中,兩側(cè)運算對象的數(shù)據(jù)類型可以是任意
    的頭像 發(fā)表于 11-30 14:15 ?1363次閱讀

    python中運算符的優(yōu)先級大小

    Python中運算符的優(yōu)先級決定了表達式中各個運算符的計算順序。了解運算符的優(yōu)先級對于正確理解和編寫復雜的表達式非常重要。本文將詳細介紹Python中運算符的優(yōu)先級,并給出一些示例來幫
    的頭像 發(fā)表于 11-29 16:21 ?1868次閱讀

    c語言a++和++a的區(qū)別舉例

    使用"++"運算符。相應地,"--"運算符也有類似的行為。 在C語言中,"++"運算符的作用是將變量的值增加1,而"--"運算符的作用是將變
    的頭像 發(fā)表于 11-26 09:09 ?3322次閱讀

    python關系運算符有哪些

    Python中的關系運算符主要包括以下幾種: 等于(==):用于判斷兩個操作數(shù)是否相等。如果相等,則返回True;否則返回False。 不等于(!=):用于判斷兩個操作數(shù)是否不相等。如果不相等,則
    的頭像 發(fā)表于 11-22 14:36 ?2047次閱讀

    python運算符優(yōu)先級順序口訣

    Python是一種非常流行的編程語言,具有廣泛的應用領域。在Python中,運算符是進行各種數(shù)學和邏輯運算的關鍵部分。了解運算符的優(yōu)先級順序?qū)τ谡_理解和書寫Python代碼至關重要。
    的頭像 發(fā)表于 11-22 14:34 ?1654次閱讀

    使用“~”運算符對單bit信號進行取反

    使用“~”運算符對單bit信號進行取反(也稱為位翻轉(zhuǎn)或反向)。
    的頭像 發(fā)表于 11-08 09:28 ?1001次閱讀

    C語言數(shù)據(jù)類型詳解

    計算機編程語言是用來控制計算機的行為及操作,協(xié)助人們解決現(xiàn)實中的問題,其能表達的數(shù)據(jù)類型也是從實際中提取并抽象出來形成的數(shù)據(jù)結(jié)構(gòu)描述。
    發(fā)表于 11-02 11:32 ?995次閱讀
    C<b class='flag-5'>語言</b><b class='flag-5'>數(shù)據(jù)類型</b>詳解

    C語言運算符的使用方法

    詳細介紹了C語言表達式、算術(shù)運算符、賦值運算符、關系運算符、條件結(jié)構(gòu)、邏輯運算符、位運算符的語法
    發(fā)表于 11-02 11:30 ?942次閱讀
    C<b class='flag-5'>語言</b><b class='flag-5'>運算符</b>的使用方法

    ?Python 3.8:海象運算符的三種用法

    來得及了解,就已經(jīng)成為舊知識了,比如今天要說的海象運算符。 海象運算符是在 PEP 572 被提出的,直到 3.8 版本合入發(fā)布。 它的英文原名叫 Assignment Expressions ,翻譯過來也就
    的頭像 發(fā)表于 10-21 11:07 ?385次閱讀

    請問IAR中的sizeof是一種運算符嗎?

    IAR中的sizeof是一種運算符嗎?是怎么實現(xiàn)的?
    發(fā)表于 10-08 06:44