0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

新思科技獲得臺(tái)積公司的N3E和N4P工藝認(rèn)證

新思科技 ? 來(lái)源:新思科技 ? 作者:新思科技 ? 2022-07-12 11:10 ? 次閱讀

新思科技數(shù)字和定制設(shè)計(jì)流程獲得臺(tái)積公司的N3E和N4P工藝認(rèn)證,并已推出面向該工藝的廣泛IP核組合。

新思科技(Synopsys)近日宣布,其數(shù)字和定制設(shè)計(jì)流程已獲得臺(tái)積公司業(yè)界領(lǐng)先的N3E和N4P工藝技術(shù)認(rèn)證,助力客戶優(yōu)化用于移動(dòng)和高性能計(jì)算的下一代系統(tǒng)級(jí)芯片(SoC)的性能、功耗和面積(PPA)。新思科技業(yè)界領(lǐng)先的基礎(chǔ)IP和接口IP也已用于臺(tái)積公司的N3E和N4P工藝,以加快SoC開(kāi)發(fā)并盡可能地降低設(shè)計(jì)風(fēng)險(xiǎn)。新思科技數(shù)字和定制設(shè)計(jì)流程以及IP核組合均支持臺(tái)積公司最新的設(shè)計(jì)規(guī)則手冊(cè)(DRM)和工藝設(shè)計(jì)套件(PDK),現(xiàn)已被眾多主要客戶采用。

我們與新思科技已成功合作了幾十年,協(xié)助共同客戶在日益復(fù)雜的SoC上實(shí)現(xiàn)嚴(yán)格的性能和功耗目標(biāo)。采用基于臺(tái)積公司高性能、高能效的N3E和N4P工藝的新思科技設(shè)計(jì)解決方案,客戶可快速推出更具創(chuàng)新性的先進(jìn)芯片,滿足各種計(jì)算密集型應(yīng)用的嚴(yán)格要求。

新思科技定制設(shè)計(jì)產(chǎn)品系列集成了綜合、布局和布線、物理驗(yàn)證、時(shí)序簽核等多項(xiàng)創(chuàng)新技術(shù),從而能夠?qū)崿F(xiàn)更優(yōu)PPA結(jié)果,并加速設(shè)計(jì)收斂。針對(duì)芯片設(shè)計(jì)定制領(lǐng)域,新思科技定制設(shè)計(jì)產(chǎn)品系列中的Custom Compiler設(shè)計(jì)和版圖解決方案已成功通過(guò)新思科技IP團(tuán)隊(duì)驗(yàn)證,可為使用臺(tái)積公司N3E工藝的開(kāi)發(fā)者提供更高的開(kāi)發(fā)效率。此外,新思科技PrimeSim電路仿真技術(shù)為先進(jìn)工藝節(jié)點(diǎn)的開(kāi)發(fā)者提供了所需的精度,為電路仿真和可靠性要求提供簽核。

我們與臺(tái)積公司在每一代工藝節(jié)點(diǎn)上都開(kāi)展了深入的合作,在此過(guò)程中,新思科技的數(shù)字和定制設(shè)計(jì)產(chǎn)品系列以及IP核組合不斷得到優(yōu)化,為我們的共同客戶提供令人信服的PPA優(yōu)勢(shì)。我們已經(jīng)見(jiàn)證了許多合作伙伴在臺(tái)積公司先進(jìn)的N3E和N4P工藝上采用新思科技EDA流程和IP,實(shí)現(xiàn)成功的芯片設(shè)計(jì)和下一代創(chuàng)新。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 工藝
    +關(guān)注

    關(guān)注

    4

    文章

    546

    瀏覽量

    28692
  • soc
    soc
    +關(guān)注

    關(guān)注

    38

    文章

    4021

    瀏覽量

    217013
  • 臺(tái)積
    +關(guān)注

    關(guān)注

    0

    文章

    13

    瀏覽量

    21190
  • 新思科技
    +關(guān)注

    關(guān)注

    5

    文章

    775

    瀏覽量

    50189

原文標(biāo)題:新思科技攜手臺(tái)積公司,加速推動(dòng)N3E/N4P工藝下的芯片創(chuàng)新

文章出處:【微信號(hào):Synopsys_CN,微信公眾號(hào):新思科技】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    臺(tái)3nm工藝節(jié)點(diǎn)步入正軌,N3P預(yù)計(jì)2024年下半年量產(chǎn)

    N3P上,公司利用之前的N3E工藝節(jié)點(diǎn)進(jìn)行優(yōu)化升級(jí),以提升整體能效及晶體管密度。據(jù)介紹,N3E工藝
    的頭像 發(fā)表于 05-17 14:56 ?637次閱讀

    臺(tái)N3P工藝新品投產(chǎn),性能提質(zhì)、成本減負(fù)

    N3E工藝的批量生產(chǎn)預(yù)期如期進(jìn)行,其缺陷密度與2020年量產(chǎn)的N5工藝相當(dāng)。臺(tái)電對(duì)
    的頭像 發(fā)表于 05-17 09:17 ?594次閱讀

    思科技物理驗(yàn)證解決方案已獲得臺(tái)公司N3PN2工藝技術(shù)認(rèn)證

    由Synopsys.ai EDA套件賦能可投產(chǎn)的數(shù)字和模擬設(shè)計(jì)流程能夠針對(duì)臺(tái)公司N3/N3PN2工藝
    的頭像 發(fā)表于 05-14 10:36 ?323次閱讀
    新<b class='flag-5'>思科</b>技物理驗(yàn)證解決方案已<b class='flag-5'>獲得</b><b class='flag-5'>臺(tái)</b><b class='flag-5'>積</b><b class='flag-5'>公司</b><b class='flag-5'>N3P</b>和<b class='flag-5'>N</b>2<b class='flag-5'>工藝</b>技術(shù)<b class='flag-5'>認(rèn)證</b>

    思科技面向臺(tái)公司先進(jìn)工藝加速下一代芯片創(chuàng)新

    套件賦能可投產(chǎn)的數(shù)字和模擬設(shè)計(jì)流程能夠針對(duì)臺(tái)公司N3/N3PN2工藝,助力實(shí)現(xiàn)芯片設(shè)計(jì)成功,
    發(fā)表于 05-11 11:03 ?350次閱讀
    新<b class='flag-5'>思科</b>技面向<b class='flag-5'>臺(tái)</b><b class='flag-5'>積</b><b class='flag-5'>公司</b>先進(jìn)<b class='flag-5'>工藝</b>加速下一代芯片創(chuàng)新

    蘋果M4芯片將采用臺(tái)N3E工藝,分三款

    據(jù)悉,蘋果將于當(dāng)?shù)貢r(shí)間今晚十時(shí)舉行的“放飛吧”特別活動(dòng)上發(fā)布全新iPad Pro產(chǎn)品,預(yù)計(jì)搭載M4處理器,且有傳言稱其將采用臺(tái)N3E制程。
    的頭像 發(fā)表于 05-07 15:40 ?565次閱讀

    臺(tái)3nm工藝預(yù)計(jì)2024年產(chǎn)量達(dá)80%

    據(jù)悉,2024年臺(tái)電的第二代3nm工藝(稱為N3E)有望得到更廣泛運(yùn)用。此前只有蘋果有能力訂購(gòu)第一代N
    的頭像 發(fā)表于 01-03 14:15 ?635次閱讀

    特斯拉加入臺(tái)3nm芯片NTO客戶名單,計(jì)劃生產(chǎn)次世代FSD智駕芯片

    據(jù)臺(tái)電公布的藍(lán)圖,N3P 工藝比現(xiàn)有的 N3E 工藝性能提高 5%,能耗降低 5%至 10%,
    的頭像 發(fā)表于 12-28 15:15 ?768次閱讀

    思科技攜手合作伙伴面向臺(tái)公司N4PRF工藝推出全新射頻方案

    全新參考流程針對(duì)臺(tái)公司 N4PRF 工藝打造,提供開(kāi)放、高效的射頻設(shè)計(jì)解決方案。
    的頭像 發(fā)表于 11-27 16:54 ?598次閱讀

    思科技于2023臺(tái)公司OIP生態(tài)系統(tǒng)論壇上榮獲多項(xiàng)年度合作伙伴大獎(jiǎng)

    。 新思科技接口IP組合已在臺(tái)公司N3E工藝上實(shí)現(xiàn)硅片成功,能夠降低集成風(fēng)險(xiǎn),加快產(chǎn)品上市時(shí)間,并針對(duì)臺(tái)
    發(fā)表于 11-14 14:18 ?247次閱讀

    思科技攜手合作伙伴開(kāi)發(fā)針對(duì)臺(tái)公司N4P工藝的射頻設(shè)計(jì)參考流程

    、汽車和高性能計(jì)算設(shè)計(jì)的開(kāi)發(fā)和硅片成功。在2023年臺(tái)公司北美OIP生態(tài)系統(tǒng)論壇上,新思科技展示的解決方案數(shù)量遠(yuǎn)超從前,進(jìn)一步突顯了新思科
    的頭像 發(fā)表于 11-14 10:31 ?597次閱讀

    思科技可互操作工藝設(shè)計(jì)套件助力開(kāi)發(fā)者快速上手模擬設(shè)計(jì)

    模擬設(shè)計(jì) 新思科技攜手Ansys 和 Keysight 共同推出全新射頻設(shè)計(jì)參考流程,能夠?yàn)楝F(xiàn)代射頻集成電路設(shè)計(jì)提供完整解決方案 新思科技(Synopsys)近日宣布,其模擬設(shè)計(jì)遷移流程已應(yīng)用于臺(tái)
    的頭像 發(fā)表于 11-09 10:59 ?738次閱讀

    思科技面向臺(tái)公司N5A工藝技術(shù)推出領(lǐng)先的廣泛車規(guī)級(jí)IP組合

    思科技(Synopsys, Inc.)近日宣布,面向臺(tái)公司N5A工藝推出業(yè)界領(lǐng)先的廣泛車規(guī)級(jí)
    的頭像 發(fā)表于 10-24 17:24 ?749次閱讀

    思科技攜手臺(tái)公司加速N2工藝下的SoC創(chuàng)新

    思科技近日宣布,其數(shù)字和定制/模擬設(shè)計(jì)流程已通過(guò)臺(tái)公司N2工藝技術(shù)
    的頭像 發(fā)表于 10-24 16:42 ?696次閱讀

    傳蘋果確認(rèn)iPhone 16系列將采用臺(tái)電第二代3nm工藝N3E

    據(jù)悉,蘋果公司在iphone15 pro (pro)和iphone15 pro max (pro max)兩種機(jī)型上搭載了采用n3b(3納米)工藝的a17 pro芯片,此次
    的頭像 發(fā)表于 10-16 10:20 ?810次閱讀

    臺(tái)3nm月產(chǎn)能明年將增至10萬(wàn)片

    據(jù)悉,臺(tái)電第一個(gè)3nm制程節(jié)點(diǎn)N3于去年下半年開(kāi)始量產(chǎn),強(qiáng)化版3nm(N3E)制程預(yù)計(jì)今年下半
    的頭像 發(fā)表于 09-26 17:00 ?1038次閱讀