0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

setup/hold的概念

FPGA之家 ? 來源:FPGA之家 ? 作者:FPGA之家 ? 2022-07-25 10:09 ? 次閱讀

如果你參加過IC校招面試,自然會被問到“setup/hold的概念,以及setup/hold違例怎么辦?”

這時候,你肯定會立馬在腦海中從打拍或者插buffer兩個答案中選一個。但是在實(shí)際項(xiàng)目中,往往沒有這么簡單。舉一個實(shí)際的場景:

5bf7b42c-0ae6-11ed-ba43-dac502259ad0.png

AXI master和AXI slave這一簇信號出現(xiàn)setup時序違例怎么辦?

1、從AXI master 到AXI slave 出現(xiàn)setup違例;

2、從AXI slave 到AXI master出現(xiàn)setup違例;

3、兩者都出現(xiàn)setup時序違例。

所以AXI master和AXI slave之間的打拍會存在4中模式:

Forward Registered :對valid和payload路打拍

Backward Registered :對ready路打拍

Fully Registered :同時對valid/payload路和ready路打拍

Pass Through Mode:Bypass,均不打拍

這個問題沒那么容易或者說不能夠直接打拍,是因?yàn)檫@一簇信號遵循valid-ready協(xié)議,需要打拍的信號間存在時序的耦合。

所以問題就簡化成如何在遵循valid -ready協(xié)議的master和slave 之間完成“打拍”,或者說在打拍的同時處理valid-ready協(xié)議。

Forward Registered

5c140fc8-0ae6-11ed-ba43-dac502259ad0.png

always @(posedge clk or negedge rst_n)begin   if (rst_n == 1'd0)       valid_dst <= 1'd0;   else if (valid_src == 1'd1)       valid_dst <= #`DLY 1'd1;   else if (ready_dst == 1'd1)       valid_dst <= #`DLY 1'd0;end always @(posedge clk or negedge rst_n)begin   if (rst_n == 1'd0)       payload_dst <= 'd0;   else if (valid_src == 1'd1 && ready_src == 1'd1)       payload_dst <= #`DLY payload_src;end ready_src = (~valid_dst) | ready_dst

5c2e0ed2-0ae6-11ed-ba43-dac502259ad0.png

現(xiàn)在來分析下上述Forward Registered 打拍代碼的幾個輸出端口

valid_dst:在master發(fā)請求(拉高valid_src)時拉高valid_dst,直到當(dāng)前master沒有valid請求并且slave可以接收請求(拉高ready_dst)時拉低valid_dst,表示一次傳輸完成。

payload_dst:在master發(fā)請求(拉高valid_src),并且前面沒有請求、請求已經(jīng)被接收或者正在被接收時將payload_src打拍賦給payload_dst。

其實(shí)master本身也會遵循valid-ready協(xié)議,payload_src和valid_src做同樣處理就行,即也可以在(valid_src == 1'd1 && ready_src == 1'd0)時進(jìn)行賦值,因?yàn)榇藭rpayload_src輸入應(yīng)該約束保持原始數(shù)據(jù)。

ready_src:register slice或者slave可以接收數(shù)據(jù)時拉高ready_src.

Backward Registered

5c4b1df6-0ae6-11ed-ba43-dac502259ad0.png

always @(posedge clk or negedge rst_n)begin   if (rst_n == 1'd0)       valid_tmp0 <= 1'd0;   else if (valid_src == 1'd1 && ready_dst == 1'd0 &&valid_tmp0 == 1'd0)       valid_tmp0 <= #`DLY 1'd1;   else if (ready_dst == 1'd1)       valid_tmp0 <= #`DLY 1'd0;end always @(posedge clk or negedge rst_n)begin    if (rst_n == 1'd0)       payload_tmp0 <= 'd0;   else if (valid_src == 1'd1 && ready_dst == 1'd0 &&valid_tmp0 == 1'd0)       payload_tmp0 <= #`DLY payload_src;end assign payload_dst = (valid_tmp0 == 1'd1) ?payload_tmp0 : payload_src; always @(posedge clk or negedge rst_n)begin   if (rst_n == 1'd0)       ready_src <= 1'd0;   else       ready_src <= #`DLY ready_dst;end

Backward Registered 打拍相比較Forward Registered 會復(fù)雜點(diǎn),因?yàn)榇嬖趕lave沒有ready時master發(fā)來請求,需要暫存payload的場景。

5c5c301e-0ae6-11ed-ba43-dac502259ad0.png

現(xiàn)在來分析下上述Backward Registered打拍代碼的幾個輸出端口:

ready_src:對ready通路直接進(jìn)行打拍。

valid_dst:當(dāng)slave沒有ready,master發(fā)來請求時拉高標(biāo)志位valid_tmp0,表示下一次slave準(zhǔn)備好之后應(yīng)該從register slice內(nèi)暫存的payload拿數(shù)據(jù)

payload_dst:當(dāng)slave沒有ready,master發(fā)來請求時暫存payload到payload_tmp。最終的payload_dst根據(jù)標(biāo)志位valid_tmp0從payload_tmp和payload_src之間選擇

Fully Registered

類似于,簡單理解就是個乒乓BUFFER,使用非空信號做valid_dst;payload的非滿信號做ready_src

Pass Through Mode

直接相連

通過上述分析,可以使用register slice mode參數(shù)化的庫,在后端要求AXI BUS打拍時直接調(diào)用,而無需重復(fù)造輪子。

原文標(biāo)題:打拍優(yōu)化時序不像聽起來那么簡單

文章出處:【微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

審核編輯:彭靜

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 數(shù)據(jù)
    +關(guān)注

    關(guān)注

    8

    文章

    6715

    瀏覽量

    88307
  • 時序
    +關(guān)注

    關(guān)注

    5

    文章

    370

    瀏覽量

    37186
  • 代碼
    +關(guān)注

    關(guān)注

    30

    文章

    4671

    瀏覽量

    67765
  • Setup
    +關(guān)注

    關(guān)注

    0

    文章

    30

    瀏覽量

    11935

原文標(biāo)題:打拍優(yōu)化時序不像聽起來那么簡單

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    Setup/Hold Time Problem

    Setup/Hold Time ProblemConclusionIf the Setup/Hold time error happen on the Input Register (
    發(fā)表于 09-11 09:23

    【FPGA經(jīng)典試題】電路模塊的setup-time和hold-time是正值還是負(fù)值

    請問:a.對于一個D-FF 而言,它的setup-time 和hold-time 是正值還是負(fù)值?為什么?b.對于一個電路模塊(包括多個組合邏輯子模塊及時序邏輯子模塊)而言,它
    發(fā)表于 03-15 10:41

    解釋下setuphold time

    本帖最后由 gk320830 于 2015-3-5 18:27 編輯 setup/hold time 是測試芯片對輸入信號和時鐘信號之間的時間要求。建立時間是指觸發(fā)器的時鐘信號上升沿到來以前,數(shù)據(jù)穩(wěn)定不變的時間。保持時間是指觸發(fā)器的時鐘信號上升沿到來以后,數(shù)據(jù)穩(wěn)定不
    發(fā)表于 04-12 16:40

    請問AD80370中hold up time為負(fù)值是為什么?

    在AD80370的datasheet里面有SYSREF± Setup and Hold Timing 這個時序圖,但是為什么圖中標(biāo)示的holdup time是負(fù)值,如果這樣的話那device
    發(fā)表于 10-09 16:09

    什么是SetupHold時間

    什么是SetupHold時間?答:Setup/Hold Time 用于測試芯片對輸入信號和時鐘信號之間的時間要求。建立時間(Setup T
    發(fā)表于 12-21 07:39

    怎么解釋setup time和hold time的定義和在時鐘信號延遲時的變化呢?

    怎么解釋setup time和hold time的定義和在時鐘信號延遲時的變化呢?
    發(fā)表于 05-10 11:46

    Sample Hold Has Zero Droop and

    Sample Hold Has Zero Droop and Infinite Hold
    發(fā)表于 04-18 20:33 ?24次下載

    建立時間和保持時間(setup time 和 hold time)

    建立時間和保持時間貫穿了整個時序分析過程。只要涉及到同步時序電路,那么必然有上升沿、下降沿采樣,那么無法避免setup-time 和 hold-time這兩個概念。 1. 什么是setup
    發(fā)表于 02-08 14:48 ?5795次閱讀

    對于時序分析基本概念setuphold的介紹

    低電平時,T1和T4導(dǎo)通,此時數(shù)據(jù)進(jìn)入到`D,因?yàn)榇藭rT4導(dǎo)通,由于一對反相器形成雙穩(wěn)態(tài)結(jié)構(gòu),圖中綠色箭頭線中的數(shù)據(jù)保持上一拍的數(shù)據(jù)結(jié)果。
    的頭像 發(fā)表于 04-16 10:34 ?1.4w次閱讀
    對于時序分析基本<b class='flag-5'>概念</b><b class='flag-5'>setup</b>和<b class='flag-5'>hold</b>的介紹

    關(guān)于打拍優(yōu)化時序你真的全面了解嗎?

    如果你參加過IC校招面試,自然會被問到“setup/hold概念,以及setup/hold違例怎么辦?”
    的頭像 發(fā)表于 04-27 14:15 ?4333次閱讀
    關(guān)于打拍優(yōu)化時序你真的全面了解嗎?

    什么是Setup和Holdup時間?如何解決亞穩(wěn)態(tài)?

    Setup/hold time 是測試芯片對輸入信號和時鐘信號之間的時間要求。
    的頭像 發(fā)表于 06-21 15:13 ?1.2w次閱讀
    什么是<b class='flag-5'>Setup</b>和Holdup時間?如何解決亞穩(wěn)態(tài)?

    為什么setup檢查下一個沿而hold檢查當(dāng)前沿

    做數(shù)字電路設(shè)計的可能都見過圖一所示的setuphold時間檢查,從圖中可以明顯看出,setup time檢查下一個沿,而hold time檢查同一個沿。那么這是為什么呢 ?
    的頭像 發(fā)表于 11-21 10:30 ?3731次閱讀

    怎么解決解決Hold Time違例的問題呢

    在Place Design之后再去看Hold Time,如果此時Hold Time的違例比較?。ū热?0.05ns),還是不需要理會的
    的頭像 發(fā)表于 01-11 09:05 ?3551次閱讀

    通過解剖一個邊沿觸發(fā)器簡要說明setuphold產(chǎn)生原因

    在后仿真過程中經(jīng)常會遇到關(guān)于setuphold violation的問題,但是關(guān)于setuphold time的產(chǎn)生原因和由來很多人還比較朦朧,為此本文通過解剖一個邊沿觸發(fā)器簡要說
    的頭像 發(fā)表于 07-04 09:32 ?1288次閱讀
    通過解剖一個邊沿觸發(fā)器簡要說明<b class='flag-5'>setup</b>和<b class='flag-5'>hold</b>產(chǎn)生原因

    什么是SetupHold時間?

    什么是SetupHold時間? SetupHold時間是數(shù)字電路中一種時序要求,用于確保穩(wěn)定的數(shù)據(jù)傳輸和正確的電路操作。 在數(shù)字電路中,數(shù)據(jù)的傳輸需要遵循一定的時間要求。
    的頭像 發(fā)表于 11-17 14:11 ?1736次閱讀