0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

形式驗(yàn)證簡介

吳湛 ? 來源:BILL張 ? 作者:BILL張 ? 2022-07-28 14:04 ? 次閱讀

形式驗(yàn)證是一種自動(dòng)檢查方法,可以捕捉許多常見的設(shè)計(jì)錯(cuò)誤,并可以發(fā)現(xiàn)設(shè)計(jì)中的歧義。

形式驗(yàn)證是使用數(shù)學(xué)技術(shù)驗(yàn)證設(shè)計(jì)正確性的過程。形式驗(yàn)證工具使用各種算法來驗(yàn)證設(shè)計(jì)并且不執(zhí)行任何時(shí)序檢查。這些工具不需要激勵(lì)或測試臺(tái),因此,形式驗(yàn)證在 IC 設(shè)計(jì)周期的早期執(zhí)行——即,只要 RTL 代碼可用。越早發(fā)現(xiàn)錯(cuò)誤,就越容易修復(fù)。

英特爾處理器中發(fā)現(xiàn)著名的奔騰漏洞后,形式驗(yàn)證開始流行,導(dǎo)致召回有故障的處理器,英特爾不得不承擔(dān)近 5 億美元的損失。通過正式驗(yàn)證,可以避免各種其他事件,例如 Ariane 5 爆炸和巴拿馬癌癥研究所的輻射過度暴露。

硬件系統(tǒng)的許多應(yīng)用都很關(guān)鍵,其中任何故障都可能導(dǎo)致高額的財(cái)務(wù)或物理損失。本文討論形式驗(yàn)證及其各種化身。

目的

形式驗(yàn)證技術(shù)跟蹤標(biāo)準(zhǔn)驗(yàn)證技術(shù)未檢測到的錯(cuò)誤。此外,對于可以使用標(biāo)準(zhǔn)技術(shù)檢測到的錯(cuò)誤,形式驗(yàn)證通常以明顯更快的速度識(shí)別它們。在通過仿真和仿真對設(shè)計(jì)進(jìn)行功能驗(yàn)證之前,先進(jìn)行形式驗(yàn)證。

形式驗(yàn)證的一些優(yōu)點(diǎn)如下:

在設(shè)計(jì)周期早期檢測錯(cuò)誤

耗時(shí)少

可靠的

快點(diǎn)

詳盡無遺

形式驗(yàn)證技術(shù)

模型檢查

模型檢查,也稱為屬性檢查,是一種基于狀態(tài)的形式驗(yàn)證方法。

以下步驟解釋了模型檢查的過程:

對系統(tǒng)建模以獲得模型 M。系統(tǒng)被建模為一組狀態(tài),其中包含一組狀態(tài)之間的轉(zhuǎn)換,這些轉(zhuǎn)換描述了系統(tǒng)如何響應(yīng)內(nèi)部或外部刺激從一個(gè)狀態(tài)移動(dòng)到另一個(gè)狀態(tài)。

使用屬性規(guī)范語言(例如 PSL 或 SVA)創(chuàng)建要驗(yàn)證的屬性,以得出公式 ?。屬性是對設(shè)計(jì)行為的描述。

運(yùn)行模型檢查器以找出模型 M 是否滿足公式 ?。

如果模型不滿足該性質(zhì),則生成反例。反例是違反屬性的刺激,通常顯示為可在仿真中使用的波形。

用仿真中的系統(tǒng)模型運(yùn)行反例,找出錯(cuò)誤的位置。

優(yōu)缺點(diǎn)

一旦系統(tǒng)模型和屬性規(guī)范被提供給模型檢查器,驗(yàn)證過程是全自動(dòng)的。但是,就模型檢查器要處理的狀態(tài)數(shù)量而言,系統(tǒng)應(yīng)該很小。

定理證明

定理證明是使用數(shù)學(xué)推理驗(yàn)證實(shí)現(xiàn)的系統(tǒng)是否滿足設(shè)計(jì)要求(或規(guī)范)的過程。它是一種基于證明的形式驗(yàn)證方法。

以下步驟解釋了定理證明的過程:

將系統(tǒng)建模為形式數(shù)學(xué)邏輯中的一組數(shù)學(xué)定義。

從數(shù)學(xué)定義中推導(dǎo)出系統(tǒng)的屬性。

使用定理證明器來驗(yàn)證系統(tǒng)是否符合規(guī)范。有各種可用的定理證明器按其基礎(chǔ)邏輯分類。定理證明者也可以稱為證明助手。

優(yōu)點(diǎn)和缺點(diǎn)

定理證明的最大優(yōu)點(diǎn)是它可以處理非常復(fù)雜的系統(tǒng)。但是,定理證明不是全自動(dòng)的,需要人工干預(yù)才能完成證明,這需要時(shí)間和專業(yè)知識(shí)。此外,在證明失敗的情況下,不會(huì)生成反例,這使得定位錯(cuò)誤變得困難。

等價(jià)檢查

等價(jià)檢查是驗(yàn)證兩個(gè)設(shè)計(jì)在功能上是否相同的過程。兩種類型的等價(jià)檢查技術(shù)如下:

邏輯等效檢查(LEC):也稱為組合等效檢查,邏輯等效檢查是驗(yàn)證兩個(gè)設(shè)計(jì)在寄存器之間具有相同組合邏輯的過程。兩個(gè)比較的設(shè)計(jì)也應(yīng)該具有相同數(shù)量的寄存器。該技術(shù)用于驗(yàn)證不同抽象級別的兩個(gè)設(shè)計(jì)在功能上是否相同;例如,門級網(wǎng)表在功能上與布局網(wǎng)表相同。

順序等價(jià)檢查 (SEC):順序等價(jià)檢查是驗(yàn)證兩個(gè)設(shè)計(jì)在功能上相同以及在提供相同輸入時(shí)提供相同輸出的過程。SEC 比較了兩種設(shè)計(jì)的時(shí)序邏輯,這兩種設(shè)計(jì)可能具有不同的實(shí)現(xiàn)方式。這是一個(gè)復(fù)雜的過程,因此非常受限于設(shè)計(jì)的大小。

有時(shí),IC 的設(shè)計(jì)會(huì)在最后一刻進(jìn)行修改,以包含一些功能、時(shí)序、電源或其他修復(fù),或者包含一些額外的邏輯,例如掃描邏輯、電源控制電路等。此類更改需要驗(yàn)證。標(biāo)準(zhǔn)驗(yàn)證程序會(huì)耗費(fèi)大量時(shí)間,因此會(huì)增加上市時(shí)間。順序等效檢查將修改后的設(shè)計(jì)與黃金設(shè)計(jì)進(jìn)行比較,并驗(yàn)證它們在功能上是否相同。

總結(jié)

形式驗(yàn)證是一種自動(dòng)檢查方法,可以發(fā)現(xiàn)許多常見的設(shè)計(jì)錯(cuò)誤,并可以發(fā)現(xiàn)設(shè)計(jì)中的歧義。這是一種詳盡的方法,涵蓋所有輸入場景并檢測極端情況錯(cuò)誤。

這種形式的驗(yàn)證節(jié)省了設(shè)計(jì)人員的時(shí)間和精力,因?yàn)樯踔猎陂_發(fā)測試環(huán)境之前就發(fā)現(xiàn)了潛在的錯(cuò)誤。它可用于設(shè)計(jì)的高級、RTL 或 GLS 表示。市場上有各種各樣復(fù)雜的形式化工具,其中許多提供了一種按鈕方式來查找設(shè)計(jì)中的錯(cuò)誤。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 處理器
    +關(guān)注

    關(guān)注

    68

    文章

    18948

    瀏覽量

    227408
  • 形式驗(yàn)證
    +關(guān)注

    關(guān)注

    0

    文章

    8

    瀏覽量

    5688
收藏 人收藏

    評論

    相關(guān)推薦

    SJM8-8D磁性開關(guān)的觸點(diǎn)形式有哪些

    磁性開關(guān)的觸點(diǎn)形式多種多樣,用戶可以根據(jù)具體的應(yīng)用場景和需求選擇合適的觸點(diǎn)形式。同時(shí),隨著技術(shù)的不斷進(jìn)步和創(chuàng)新,磁性開關(guān)的觸點(diǎn)形式也將不斷發(fā)展和完善。
    的頭像 發(fā)表于 09-21 15:11 ?130次閱讀

    形式驗(yàn)證如何加速超大規(guī)模芯片設(shè)計(jì)?

    引言隨著集成電路規(guī)模的不斷擴(kuò)大,從設(shè)計(jì)到流片(Tape-out)的全流程中,驗(yàn)證環(huán)節(jié)的核心地位日益凸顯。有效的驗(yàn)證不僅是設(shè)計(jì)完美的基石,更是確保電路在實(shí)際應(yīng)用中穩(wěn)定運(yùn)行的保障。尤為關(guān)鍵的是,邏輯或
    的頭像 發(fā)表于 08-30 12:45 ?351次閱讀
    <b class='flag-5'>形式</b><b class='flag-5'>驗(yàn)證</b>如何加速超大規(guī)模芯片設(shè)計(jì)?

    SoC布局中各種IC簡介

    。SoC中各種IP簡介IP核(IntellectualPropertyCore),即知識(shí)產(chǎn)權(quán)核,在集成電路設(shè)計(jì)行業(yè)中指已驗(yàn)證、可重復(fù)利用、具有某種確定功能的芯片設(shè)
    的頭像 發(fā)表于 07-17 08:28 ?211次閱讀
    SoC布局中各種IC<b class='flag-5'>簡介</b>

    機(jī)器學(xué)習(xí)中的交叉驗(yàn)證方法

    在機(jī)器學(xué)習(xí)中,交叉驗(yàn)證(Cross-Validation)是一種重要的評估方法,它通過將數(shù)據(jù)集分割成多個(gè)部分來評估模型的性能,從而避免過擬合或欠擬合問題,并幫助選擇最優(yōu)的超參數(shù)。本文將詳細(xì)探討幾種
    的頭像 發(fā)表于 07-10 16:08 ?431次閱讀

    運(yùn)動(dòng)控制器的控制形式有哪些

    運(yùn)動(dòng)控制器是現(xiàn)代工業(yè)自動(dòng)化和機(jī)器人技術(shù)中的核心組件,負(fù)責(zé)對機(jī)械系統(tǒng)進(jìn)行精確的控制和調(diào)度。運(yùn)動(dòng)控制器的控制形式多種多樣,每種形式都有其特定的應(yīng)用場景和優(yōu)勢。以下是對運(yùn)動(dòng)控制器控制形式的分析。 一、開環(huán)
    的頭像 發(fā)表于 06-13 09:23 ?440次閱讀

    繼電器的常見封裝形式及其特點(diǎn)

    繼電器作為電氣控制系統(tǒng)中不可或缺的關(guān)鍵元件,其封裝形式不僅關(guān)系到繼電器本身的性能和使用壽命,還對整個(gè)系統(tǒng)的穩(wěn)定性和可靠性有著重要影響。隨著電子技術(shù)的不斷發(fā)展和應(yīng)用領(lǐng)域的不斷拓展,繼電器的封裝形式也日益多樣化。本文將對繼電器的常見封裝形式
    的頭像 發(fā)表于 05-21 18:26 ?1817次閱讀

    555集成芯片的封裝形式

    555集成芯片的封裝形式主要有DIP8封裝、SOP8封裝以及金屬封裝和環(huán)氧樹脂封裝等。其中,DIP8封裝是555芯片的經(jīng)典封裝形式,包含了芯片的所有引腳和功能。此外,根據(jù)應(yīng)用需求,還衍生出了一些特殊的封裝形式
    的頭像 發(fā)表于 03-26 14:44 ?924次閱讀

    fpga驗(yàn)證和uvm驗(yàn)證的區(qū)別

    FPGA驗(yàn)證和UVM驗(yàn)證在芯片設(shè)計(jì)和驗(yàn)證過程中都扮演著重要的角色,但它們之間存在明顯的區(qū)別。
    的頭像 發(fā)表于 03-15 15:00 ?1166次閱讀

    基于斷言的驗(yàn)證簡介 – 第 1 部分

    基于斷言的驗(yàn)證(ABV)是一種與傳統(tǒng)方法相比可以大大減少驗(yàn)證過程的技術(shù).
    的頭像 發(fā)表于 01-09 09:59 ?477次閱讀
    基于斷言的<b class='flag-5'>驗(yàn)證</b><b class='flag-5'>簡介</b> – 第 1 部分

    AtomGit教程 | 使用AtomGit雙因素驗(yàn)證保障您的賬戶安全

    。 本文將向您介紹雙因素認(rèn)證的概念、優(yōu)勢以及AtomGit雙因素驗(yàn)證的使用 。 什么是雙因素認(rèn)證? 雙因素認(rèn)證,也稱為兩步驗(yàn)證,是一種安全認(rèn)證方法。它結(jié)合了兩種不同形式的認(rèn)證方式來確認(rèn)用戶的身份。通常第一因素是用戶名和
    的頭像 發(fā)表于 12-28 15:55 ?515次閱讀
    AtomGit教程 | 使用AtomGit雙因素<b class='flag-5'>驗(yàn)證</b>保障您的賬戶安全

    Stimulus—需求形式化建模和驗(yàn)證工具

    Stimulus是法國達(dá)索公司產(chǎn)品,其目的是通過需求建模分析來驗(yàn)證需求的正確性。Stimulus的核心理念是運(yùn)用“自然語言”對功能性需求進(jìn)行建模,并通過仿真來查找需求中的缺陷,例如需求一致性、不二
    的頭像 發(fā)表于 12-12 16:00 ?369次閱讀
    Stimulus—需求<b class='flag-5'>形式</b>化建模和<b class='flag-5'>驗(yàn)證</b>工具

    開關(guān)電容轉(zhuǎn)換原理簡介

    開關(guān)電容轉(zhuǎn)換原理簡介
    的頭像 發(fā)表于 12-05 16:49 ?557次閱讀
    開關(guān)電容轉(zhuǎn)換原理<b class='flag-5'>簡介</b>

    形式化驗(yàn)證最佳實(shí)踐之三:實(shí)現(xiàn)端到端屬性

    實(shí)際上,讓我們從一個(gè)不是端到端但對高速緩存至關(guān)重要的屬性開始。該屬性是我們唯一需要檢查內(nèi)部細(xì)節(jié)的屬性。它可以驗(yàn)證緩存中的命中請求是否只有一種命中方式。如果不遵守這一點(diǎn),那么在讀取或?qū)懭肽姆N數(shù)據(jù)時(shí)就會(huì)非常模糊。
    的頭像 發(fā)表于 11-24 14:48 ?427次閱讀
    <b class='flag-5'>形式化驗(yàn)證</b>最佳實(shí)踐之三:實(shí)現(xiàn)端到端屬性

    形式驗(yàn)證及其在芯片工程中的應(yīng)用

    形式驗(yàn)證不僅僅是芯片領(lǐng)域中的一個(gè)概念。正如文章開頭提到過,形式驗(yàn)證強(qiáng)調(diào)使用嚴(yán)格的數(shù)學(xué)推理和形式化技術(shù),以確保系統(tǒng)的行為是否符合預(yù)期的性質(zhì)和規(guī)
    的頭像 發(fā)表于 10-20 10:46 ?872次閱讀

    如何使用Verilog語言進(jìn)行仿真驗(yàn)證

    仿真驗(yàn)證主要作用是搭建一個(gè)測試平臺(tái),測試和驗(yàn)證程序設(shè)計(jì)的正確性,驗(yàn)證設(shè)計(jì)是否實(shí)現(xiàn)了我們所預(yù)期的功能。其結(jié)構(gòu)如下圖所示。
    的頭像 發(fā)表于 10-02 16:29 ?1446次閱讀
    如何使用Verilog語言進(jìn)行仿真<b class='flag-5'>驗(yàn)證</b>