0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

智原發(fā)布FPGA-Go-ASIC驗證平臺 協(xié)助客戶加速進(jìn)行電路設(shè)計與系統(tǒng)驗證

智原科技 ? 來源:智原科技 ? 作者:智原科技 ? 2022-07-29 10:08 ? 次閱讀

ASIC設(shè)計服務(wù)暨IP研發(fā)銷售廠商智原科技(Faraday Technology Corporation,TWSE:3035)今日發(fā)布FPGA-Go-ASIC驗證平臺。該平臺包含SoCreative! SoC驗證平臺與附帶的FPGA原型平臺,協(xié)助客戶加速進(jìn)行電路設(shè)計與系統(tǒng)驗證。結(jié)合智原完善的FPGA-Go-ASIC服務(wù),客戶得以更快速地開發(fā)產(chǎn)品并能有效地降低成本且增加芯片效能。

智原透過對于IP開發(fā)整合的專業(yè)搭配自有IP的多樣性,事先整合與驗證大多數(shù)SoC需要的IP到此驗證平臺中,使客戶能夠大幅減少硬件驗證除錯和軟件開發(fā)時間。該平臺采用Arm Cortex-A/M CPU、PCIe、LVDS、DDR等多種經(jīng)過硅驗證的高速接口IP、系統(tǒng)周邊IP并整合操作系統(tǒng)軟件和驅(qū)動程序在內(nèi)的全方位軟硬件解決方案;客戶可以輕松地將自己的電路設(shè)計整合到FPGA原型平臺中,并通過PCIe接口連接SoC平臺進(jìn)行全系統(tǒng)驗證。

智原科技營運(yùn)長林世欽表示:“智原的FPGA-Go-ASIC驗證平臺能夠協(xié)助客戶降低FPGA轉(zhuǎn)換的阻礙。智原的FPGA-Go-ASIC服務(wù)已成功用于多個項目,藉由此平臺,我們?yōu)镕PGA-Go-ASIC客戶再次提供了重要的附加價值,不局限于應(yīng)用,讓FPGA轉(zhuǎn)換到ASIC的過程得以更快速且無縫接軌?!?/p>

關(guān)于智原科技

智原科技(Faraday Technology Corporation, TWSE: 3035)為專用集成電路(ASIC)設(shè)計服務(wù)暨知識產(chǎn)權(quán)(IP)研發(fā)銷售領(lǐng)導(dǎo)廠商,通過ISO 9001與ISO 26262認(rèn)證,總公司位于臺灣新竹科學(xué)園區(qū),并于中國大陸、美國與日本設(shè)有研發(fā)、營銷據(jù)點。重要的IP產(chǎn)品包括:I/O、標(biāo)準(zhǔn)單元庫、Memory Compiler、兼容ARM指令集CPU、LPDDR4/4X、DDR4/3、MIPI D-PHY、V-by-One、USB 3.1/2.0、10/100 Ethernet、Giga Ethernet、SATA3/2、PCIe Gen4/3、28G可編程高速SerDes,以及數(shù)百個外設(shè)數(shù)字及混合訊號IP。

審核編輯:彭靜
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1621

    文章

    21517

    瀏覽量

    599310
  • 電路設(shè)計
    +關(guān)注

    關(guān)注

    6639

    文章

    2404

    瀏覽量

    201372
  • 智原
    +關(guān)注

    關(guān)注

    0

    文章

    8

    瀏覽量

    7911

原文標(biāo)題:智原發(fā)布FPGA-Go-ASIC驗證平臺 加速FPGA轉(zhuǎn)換ASIC

文章出處:【微信號:faradaytech,微信公眾號:智原科技】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    fpga原型驗證平臺與硬件仿真器的區(qū)別

    FPGA原型驗證平臺與硬件仿真器在芯片設(shè)計和驗證過程中各自發(fā)揮著獨特的作用,它們之間存在明顯的區(qū)別。
    的頭像 發(fā)表于 03-15 15:07 ?869次閱讀

    fpga原型驗證流程

    FPGA原型驗證流程是確保FPGA(現(xiàn)場可編程門陣列)設(shè)計正確性和功能性的關(guān)鍵步驟。它涵蓋了從設(shè)計實現(xiàn)到功能驗證的整個過程,是FPGA開發(fā)流
    的頭像 發(fā)表于 03-15 15:05 ?1178次閱讀

    fpga驗證和測試的區(qū)別

    FPGA驗證和測試在芯片設(shè)計和開發(fā)過程中都扮演著重要的角色,但它們各自有著不同的側(cè)重點和應(yīng)用場景。
    的頭像 發(fā)表于 03-15 15:03 ?799次閱讀

    fpga驗證和uvm驗證的區(qū)別

    FPGA驗證和UVM驗證在芯片設(shè)計和驗證過程中都扮演著重要的角色,但它們之間存在明顯的區(qū)別。
    的頭像 發(fā)表于 03-15 15:00 ?1166次閱讀

    珠海南方集成電路設(shè)計服務(wù)中心引進(jìn)芯華章全流程驗證工具

    為更好地推動EDA工具國產(chǎn)化,加快構(gòu)建產(chǎn)業(yè)生態(tài)體系,3月13日,芯華章科技宣布與珠海南方集成電路設(shè)計服務(wù)中心(珠海ICC)達(dá)成戰(zhàn)略合作,后者將引進(jìn)芯華章智V驗證平臺及數(shù)字驗證全流程工具
    的頭像 發(fā)表于 03-13 10:01 ?438次閱讀

    FPGA與AISC的差異

    )是兩種不同的硬件實現(xiàn)方式,它們之間存在以下主要差異: 設(shè)計過程 :FPGA的設(shè)計通?;谝延械挠布Y(jié)構(gòu)進(jìn)行邏輯配置,而ASIC需要從頭開始進(jìn)行定制化的
    發(fā)表于 02-22 09:54

    基于架構(gòu)設(shè)計的車載網(wǎng)聯(lián)系統(tǒng)驗證體系研究

    隨著我國汽車產(chǎn)業(yè)網(wǎng)聯(lián)化的快速發(fā)展,車載網(wǎng)聯(lián)系統(tǒng)的復(fù)雜度不斷提升,新的技術(shù)、設(shè)計思路和應(yīng)用服務(wù)持續(xù)引入。傳統(tǒng)驗證方法大多基于系統(tǒng)集成及研發(fā)流程角度進(jìn)行代碼級測試、子
    的頭像 發(fā)表于 01-24 09:46 ?463次閱讀
    基于架構(gòu)設(shè)計的車載網(wǎng)聯(lián)<b class='flag-5'>系統(tǒng)驗證</b>體系研究

    到底什么是ASICFPGA?

    。 用戶使用FPGA時,可以通過硬件描述語言(Verilog或VHDL),完成的電路設(shè)計,然后對FPGA進(jìn)行“編程”(燒寫),將設(shè)計加載到FPGA
    發(fā)表于 01-23 19:08

    原型平臺是做什么的?proFPGA驗證環(huán)境介紹

    proFPGA是mentor的FPGA原型驗證平臺,當(dāng)然mentor被西門子收購之后,現(xiàn)在叫西門子EDA。
    的頭像 發(fā)表于 01-22 09:21 ?1156次閱讀
    原型<b class='flag-5'>平臺</b>是做什么的?pro<b class='flag-5'>FPGA</b><b class='flag-5'>驗證</b>環(huán)境介紹

    什么是FPGA原型驗證?FPGA原型設(shè)計的好處是什么?

    FPGA原型設(shè)計是一種成熟的技術(shù),用于通過將RTL移植到現(xiàn)場可編程門陣列(FPGA)來驗證專門應(yīng)用的集成電路ASIC),專用標(biāo)準(zhǔn)產(chǎn)品(AS
    發(fā)表于 01-12 16:13 ?955次閱讀

    面向系統(tǒng)級芯片驗證的硬件平臺介紹

    當(dāng)設(shè)計的規(guī)模動輒幾十億門,系統(tǒng)驗證時間不斷的增加,硬件驗證系統(tǒng)幾乎是驗證工程師不可或缺的利器,因此對高性能硬件驗證
    的頭像 發(fā)表于 01-05 10:06 ?641次閱讀

    數(shù)字電路設(shè)計有哪些仿真驗證流程

    數(shù)字電路設(shè)計的仿真驗證流程是確保設(shè)計能夠正確運(yùn)行的重要步驟之一。在現(xiàn)代電子設(shè)備中,數(shù)字電路被廣泛應(yīng)用于各種應(yīng)用領(lǐng)域,如計算機(jī)、通信設(shè)備、汽車電子等等。因此,設(shè)計師必須通過仿真驗證來確保
    的頭像 發(fā)表于 01-02 17:00 ?1054次閱讀

    【西安線下】就在明天!系統(tǒng)驗證及 IC 驗證研討會專場 — 2023 Cadence 中國技術(shù)巡回研討會

    電子設(shè)計自動化領(lǐng)域領(lǐng)先的供應(yīng)商 Cadence,誠邀您參加“2023 Cadence 中國技術(shù)巡回研討會”。會議將集聚 Cadence 的開發(fā)者與資深技術(shù)專家,與您分享系統(tǒng)驗證及 IC 驗證解決方案
    的頭像 發(fā)表于 10-25 10:40 ?329次閱讀
    【西安線下】就在明天!<b class='flag-5'>系統(tǒng)驗證</b>及 IC <b class='flag-5'>驗證</b>研討會專場 — 2023 Cadence 中國技術(shù)巡回研討會

    【成都線下】就在明天!系統(tǒng)驗證及 IC 驗證研討會專場 — 2023 Cadence 中國技術(shù)巡回研討會

    電子設(shè)計自動化領(lǐng)域領(lǐng)先的供應(yīng)商 Cadence,誠邀您參加“2023 Cadence 中國技術(shù)巡回研討會”。會議將集聚 Cadence 的開發(fā)者與資深技術(shù)專家,與您分享系統(tǒng)驗證及 IC 驗證解決方案
    的頭像 發(fā)表于 10-23 11:55 ?460次閱讀
    【成都線下】就在明天!<b class='flag-5'>系統(tǒng)驗證</b>及 IC <b class='flag-5'>驗證</b>研討會專場 — 2023 Cadence 中國技術(shù)巡回研討會

    如何使用Verilog語言進(jìn)行仿真驗證

    仿真驗證主要作用是搭建一個測試平臺,測試和驗證程序設(shè)計的正確性,驗證設(shè)計是否實現(xiàn)了我們所預(yù)期的功能。其結(jié)構(gòu)如下圖所示。
    的頭像 發(fā)表于 10-02 16:29 ?1446次閱讀
    如何使用Verilog語言<b class='flag-5'>進(jìn)行</b>仿真<b class='flag-5'>驗證</b>