0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

AXI通道定義及AXI總線信號描述

FPGA之家 ? 來源:FPGA之家 ? 作者:FPGA之家 ? 2022-08-04 10:49 ? 次閱讀

學(xué)習(xí)內(nèi)容

本文主要介紹了AXI通道以及在每個通道下信號的概述。

AXI通道定義

簡單回顧前文提到的AXI的通道定義,AXI協(xié)議是基于突發(fā)的,并定義了以下獨(dú)立的傳輸通道:

? read address

? read data

? write address

? write data

? write response

每個獨(dú)立通道由一組信息信號、VALID信號和READY信號組成。用于提供雙向握手機(jī)制。VALID信號:信息源端使用VALID信號來顯示何時是有效地址;數(shù)據(jù)或控制信息是否在通道上可用。READY信號:目的端使用READY信號來顯示何時可以接受信息。LAST信號:讀數(shù)據(jù)通道和寫數(shù)據(jù)通道都包括一個LAST信號,以指示傳輸中的最后一個數(shù)據(jù)。

讀寫數(shù)據(jù)地址通道

讀寫通道都各自包含了他們各自的地址通道,地址通道攜帶了所有被需請求的的地址和相關(guān)的控制信息。

讀數(shù)據(jù)通道

讀數(shù)據(jù)通道把讀數(shù)據(jù)和讀響應(yīng)信息從slave傳送到master。其中包含下述信息:

數(shù)據(jù)總線,可以是8、16、32、64、128、256、512、1024位。

一個讀響應(yīng)信號用于表示讀操作完成狀態(tài)。

寫數(shù)據(jù)通道

寫數(shù)據(jù)通道將寫數(shù)據(jù)從master傳送到slave。其中包含下述信息:

數(shù)據(jù)總線,可以是8、16、32、64、128、256、512、1024位。

一個字節(jié)的通道頻閃信號(WSTRB)指示8個數(shù)據(jù)位,指示哪些數(shù)據(jù)字節(jié)是有效的。

寫數(shù)據(jù)通道信息總是被當(dāng)作緩沖處理,因此主機(jī)執(zhí)行寫操作時,不需要從機(jī)確認(rèn)之前的寫操作。

寫響應(yīng)通道

寫響應(yīng)通道由從機(jī)發(fā)送給主機(jī),包含了寫響應(yīng)信號,用于指示當(dāng)前寫操作是否完成。所有寫操作都需要在寫響應(yīng)通道上發(fā)送完成信號。

AXI總線信號描述

了解完通道的內(nèi)容,接著介紹具體的信號功能。本節(jié)介紹的是AXI4-Full的信號功能,后文將介紹AXI4-Lite接口,相對AXI4-Full,AXI4-Lite接口信號會少很多。

全局信號

ACLK :全局時鐘。

ARESETn :復(fù)位信號低電平有效。

66d95652-138d-11ed-ba43-dac502259ad0.png

在AXI總線中所有的數(shù)據(jù)都是在全局時鐘的上升沿進(jìn)行采樣的。

寫地址通道信號

下面的表格列舉出寫地址通道的信號,常用的信號將加粗標(biāo)注。

AWID Master 寫地址ID。這個信號是信號的寫地址組的識別標(biāo)簽。指定某些特殊傳輸任務(wù)的順序
AWADDR Master 寫地址。寫地址給出了寫突發(fā)傳輸下第一個數(shù)據(jù)的地址。
AWLEN Master 突發(fā)傳輸長度。AWLEN給出了在一次突發(fā)傳輸中的確切數(shù)目長度。在AXI3和AXI4定義不同
AWSIZE Master 突發(fā)傳輸?shù)拇笮 _@個信號指示了單次傳輸?shù)牡臄?shù)據(jù)大小。
AWBURST Master 突發(fā)傳輸?shù)念愋?。突發(fā)類型和大小信息,確定如何計(jì)算突發(fā)內(nèi)每個傳輸?shù)牡刂贰?/td>
AWLOCK Master 鎖存的類型。提供關(guān)于轉(zhuǎn)移的附加信息。在AXI3和AXI4定義不同,區(qū)分正常傳輸(0)和獨(dú)有傳輸(1)
AWCACHE Master 內(nèi)存類型。這個信號指示操作如何在系統(tǒng)中進(jìn)行。總線中的存儲類型(0010:不緩存模式)
AWPROT Master 保護(hù)類型。這個信號表明操作的優(yōu)先級和安全級別,以及操作是數(shù)據(jù)訪問還是指令訪問。
AWQOS Master 服務(wù)質(zhì)量QoS。為每個寫操作發(fā)送的QoS標(biāo)識符。僅在AXI4中支持。
AWREGION Master 區(qū)域ID標(biāo)識符。允許一個slave上的單個物理接口用于多個邏輯接口。僅在AXI4中支持。
AWUSER Master 用戶信號??蛇x寫地址通道自定義信號。(完成用戶的自定義操作),僅在AXI4中支持。
AWVALID Master 寫地址有效。這個信號表明通道正在發(fā)送有效的寫地址和控制信息。
AWREADY Slave 寫地址準(zhǔn)備。這個信號表明從機(jī)準(zhǔn)備接受一個地址和相關(guān)的控制信號。
Signal Source Description

如何確定突發(fā)傳輸?shù)拇笮?、長度、類型?

下面給出一個例子:如下圖所指示:下圖是一個讀地址通道的操作,這里用方框框選的數(shù)據(jù)傳輸,是一次突發(fā)傳輸。對于該次突發(fā)傳輸:一共進(jìn)行了四次數(shù)據(jù)傳輸,所以突發(fā)的長度就是4。然后針對一次數(shù)據(jù)傳輸,每次數(shù)據(jù)傳輸位寬,為突發(fā)傳輸?shù)拇笮?。假設(shè)傳輸?shù)臄?shù)據(jù)是8位的,這里的突發(fā)長度就是8。

66e96c9a-138d-11ed-ba43-dac502259ad0.png

對于突發(fā)傳輸?shù)拈L度的不同,這里直接引用ARM的文檔內(nèi)容參考即可。

66fc116a-138d-11ed-ba43-dac502259ad0.png

對于突發(fā)的大小,這里定義了組寄存器來標(biāo)識傳輸?shù)臄?shù)據(jù)位寬:

67105512-138d-11ed-ba43-dac502259ad0.png

對于突發(fā)的類型,這里有三種突發(fā)類型分別如下:

FIXED(固定型):在一個固定的突發(fā)中,地址對于突發(fā)中的每一次傳輸都是相同的。這種突發(fā)類型用于重復(fù)訪問相同的位置,例如加載或清空FIFO。INCR(自增型):在遞增的突發(fā)中,突發(fā)中每個傳輸?shù)牡刂肥乔耙粋€傳輸?shù)牡刂返脑隽俊T隽恐等Q于傳輸?shù)拇笮?。例如,在一個大小為4個bvtes的突發(fā)中,每個傳輸?shù)牡刂肥乔耙粋€地址的加4個。這種突發(fā)類型用于訪問順序存儲器。
WRAP(回環(huán)突發(fā)):回環(huán)突發(fā)類似于遞增突發(fā)。不同的是,如果達(dá)到了地址上限,地址將被重新裝一個較低的地址。這種突發(fā)類型用于高速緩存線訪問。使用回環(huán)突發(fā)必須遵守下列限制條件:

起始地址必須與每次傳輸?shù)拇笮∫恢?

突發(fā)的長度必須是2、4、8或16。

回環(huán)突發(fā)要求:

突發(fā)使用的最低地址與要傳輸?shù)臄?shù)據(jù)的總大小對齊,即為((突發(fā)中每個傳輸?shù)拇笮?×(突發(fā)中傳輸?shù)臄?shù)量))。這個地址被定義為換行邊界。

在每次傳輸之后,地址以同樣的方式增加,就像增加帶寬一樣。但是,如果這個增加的地址是((wrap boundary) +(要傳輸?shù)臄?shù)據(jù)的總大小),那么地址就會繞到wrap boundary。

在突發(fā)中的第一次傳輸可以使用一個比繞包邊界更高的地址,這取決于適用于繞包突發(fā)的限制。這意味著對任何第一個地址高于邊界的操作,會自動換行突發(fā)。

突發(fā)類型的寄存器的解碼表如下:

67220aa0-138d-11ed-ba43-dac502259ad0.png

寫數(shù)據(jù)通道信號

WID Master 寫ID。這個信號是寫數(shù)據(jù)傳輸?shù)腎D標(biāo)簽。僅在AXI3中支持
WDATA Master 寫入數(shù)據(jù)
WSTRB Master 寫頻閃信號。該信號指示哪些字節(jié)通道保存有效數(shù)據(jù)。寫數(shù)據(jù)總線的每8位有一個寫頻閃位。
WLAST Master LAST指示信號。這個信號指示寫操作中的最后一次傳輸。
WUSER Master 用戶信號??蛇x寫入數(shù)據(jù)通道的自定義信號。僅在AXI4中支持。
WVALID Master 寫有效。這個信號表明有效的寫數(shù)據(jù)和頻閃是可用的
WREADY Slave 寫準(zhǔn)備。這個信號表明從機(jī)可以接受寫數(shù)據(jù)。
Signal Source Description

寫響應(yīng)通道信號

BID Slave 寫ID。這個信號是寫數(shù)據(jù)傳輸?shù)腎D標(biāo)簽。僅在AXI3中支持
BRESP Slave 寫響應(yīng)。這個信號指示寫操作的狀態(tài)。
BUSER Slave 用戶信號??蛇x寫響應(yīng)通道中的自定義信號。僅在AXI4中支持。
BVALID Slave 寫響應(yīng)有效。該信號表明通道正在發(fā)出有效的寫響應(yīng)信號。
BREADY Master 寫響應(yīng)準(zhǔn)備。這個信號表明主機(jī)可以接受寫響應(yīng)。
Signal Source Description

對于寫響應(yīng)通道的BRESP信號,具體響應(yīng)有下述四種類型:

OKAY:正常訪問成功。表示正常訪問成功。也可以指示獨(dú)占訪問失敗。

EXOKAY:獨(dú)占訪問。指示獨(dú)占訪問的讀或?qū)懖糠忠呀?jīng)成功。

SLVERR:從機(jī)錯誤。當(dāng)訪問成功到達(dá)從機(jī)時使用,但是從機(jī)向主機(jī)返回一個錯誤條件。

DECERR:解碼錯誤。通常由互連組件生成,以指示在操作地址處沒有從從機(jī)響應(yīng)。

BRESP信號解碼表如下:

672d3ac4-138d-11ed-ba43-dac502259ad0.png

讀地址通道信號

ARID Master 讀地址ID。這個信號是信號讀地址組的識別標(biāo)簽。指定某些特殊傳輸任務(wù)的順序
ARADDR Master 讀地址。讀地址給出了讀突發(fā)傳輸下第一個數(shù)據(jù)的地址。
ARLEN Master 突發(fā)傳輸長度。AWLEN給出了在一次突發(fā)傳輸中的確切數(shù)目長度。在AXI3和AXI4定義不同
ARSIZE Master 突發(fā)傳輸?shù)拇笮 _@個信號指示了單次傳輸?shù)牡臄?shù)據(jù)大小。
ARBURST Master 突發(fā)傳輸?shù)念愋?。突發(fā)類型和大小信息,確定如何計(jì)算突發(fā)內(nèi)每個傳輸?shù)牡刂贰?/td>
ARLOCK Master 鎖存的類型。提供關(guān)于轉(zhuǎn)移的附加信息。在AXI3和AXI4定義不同,區(qū)分正常傳輸(0)和獨(dú)有傳輸(1)
ARCACHE Master 內(nèi)存類型。這個信號指示操作如何在系統(tǒng)中進(jìn)行。總線中的存儲類型(0010:不緩存模式)
ARPROT Master 保護(hù)類型。這個信號表明操作的優(yōu)先級和安全級別,以及操作是數(shù)據(jù)訪問還是指令訪問。
ARQOS Master 服務(wù)質(zhì)量QoS。為每個讀操作發(fā)送的QoS標(biāo)識符。僅在AXI4中支持。
ARREGION Master 區(qū)域ID標(biāo)識符。允許一個slave上的單個物理接口用于多個邏輯接口。僅在AXI4中支持。
ARUSER Master 用戶信號??蛇x讀地址通道自定義信號。(完成用戶的自定義操作),僅在AXI4中支持。
ARVALID Master 讀地址有效。這個信號表明通道正在發(fā)送有效的讀地址和控制信息。
ARREADY Slave 讀地址準(zhǔn)備。這個信號表明從機(jī)準(zhǔn)備接受一個地址和相關(guān)的控制信號。
Signal Source Description

讀數(shù)據(jù)通道信號

RID Slave 讀ID標(biāo)簽。這個信號是識別標(biāo)簽的讀取數(shù)據(jù)信號的從機(jī)產(chǎn)生的
RDATA Slave 讀入數(shù)據(jù)
RRESP Slave 讀取響應(yīng)。這個信號指示讀傳輸?shù)臓顟B(tài)。。
RLAST Slave LAST指示信號。這個信號指示讀操作中的最后一次傳輸。
RUSER Slave 用戶信號??蛇x讀取數(shù)據(jù)通道中的用戶自定義信號。僅在AXI4中支持。
WVALID Slave 讀有效。該信號表明通道正在發(fā)送所需的讀取數(shù)據(jù)。
RREADY Master 讀準(zhǔn)備。該信號表明主機(jī)可以接受讀取數(shù)據(jù)和響應(yīng)信息
Signal Source Description

Reference

正點(diǎn)原子ZYNQ視頻教程。

ARM官方文檔:IHI0022D

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 總線
    +關(guān)注

    關(guān)注

    10

    文章

    2817

    瀏覽量

    87697
  • AXI
    AXI
    +關(guān)注

    關(guān)注

    1

    文章

    127

    瀏覽量

    16510

原文標(biāo)題:Reference

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    Xilinx zynq AXI總線全面解讀

    AXI (Advanced eXtensible Interface) 本是由ARM公司提出的一種總線協(xié)議, Xilinx從 6 系列的 FPGA 開始對 AXI 總線提供支持,目前使
    的頭像 發(fā)表于 12-04 12:22 ?6827次閱讀
     Xilinx zynq <b class='flag-5'>AXI</b><b class='flag-5'>總線</b>全面解讀

    基于AXI總線的加法器模塊解決方案

    前面一節(jié)我們學(xué)會了創(chuàng)建基于AXI總線的IP,但是對于AXI協(xié)議各信號的時序還不太了解。這個實(shí)驗(yàn)就是通過SDK和Vivado聯(lián)合調(diào)試觀察AXI
    的頭像 發(fā)表于 12-23 15:32 ?2415次閱讀

    ARM+FPGA開發(fā):基于AXI總線的GPIO IP創(chuàng)建

    開發(fā)基于總線的系統(tǒng)。 使用的板子是zc702。 AXI總線初識: AXI (Advanced eXtensible Interface),由ARM公司提出的一種
    的頭像 發(fā)表于 12-25 14:07 ?5338次閱讀
    ARM+FPGA開發(fā):基于<b class='flag-5'>AXI</b><b class='flag-5'>總線</b>的GPIO IP創(chuàng)建

    ZYNQ & AXI總線 & PS與PL內(nèi)部通信(用戶自定義IP)

    , WDATA,WSTRB, WREADY信號;(5)寫應(yīng)答通道,包含BVALID, BRESP, BREADY信號;(6)系統(tǒng)通道,包含:ACLK,ARESETN
    發(fā)表于 01-08 15:44

    玩轉(zhuǎn)Zynq連載3——AXI總線協(xié)議介紹1

    獨(dú)立的數(shù)據(jù)產(chǎn)生。 1.2.2 接口和互聯(lián)一個典型的系統(tǒng)包含數(shù)個主機(jī)和從機(jī)設(shè)備,這些設(shè)備通過互聯(lián)總線的形式連接在一起,如圖所示。AXI協(xié)議提供單一接口定義的形式來描述這種互聯(lián): ●在主
    發(fā)表于 05-06 16:55

    AXI總線的相關(guān)資料下載

    AXI總線學(xué)習(xí)AXI協(xié)議的主要特征主要結(jié)構(gòu)通道定義讀寫地址通道讀數(shù)據(jù)
    發(fā)表于 02-09 07:17

    看看在SpinalHDL中AXI4總線互聯(lián)IP的設(shè)計(jì)

    。readDataReorderingDepth:讀亂序傳輸?shù)纳疃取?b class='flag-5'>AXI4總線定義為:AXI4總線定義
    發(fā)表于 08-02 14:28

    AMBA AXI總線學(xué)習(xí)筆記

    AMBA AXI 總線學(xué)習(xí)筆記,非常詳細(xì)的AXI總線操作說明
    發(fā)表于 11-11 16:49 ?11次下載

    AXI 總線和引腳的介紹

    1、AXI 總線通道,總線和引腳的介紹 AXI接口具有五個獨(dú)立的通道: (1)寫地址
    發(fā)表于 01-05 08:13 ?1w次閱讀
    <b class='flag-5'>AXI</b> <b class='flag-5'>總線</b>和引腳的介紹

    你必須了解的AXI總線詳解

    DMA的總結(jié) ZYNQ中不同應(yīng)用的DMA 幾個常用的 AXI 接口 IP 的功能(上面已經(jīng)提到): AXI-DMA:實(shí)現(xiàn)從 PS 內(nèi)存到 PL 高速傳輸高速通道 AXI-HP----AXI
    的頭像 發(fā)表于 10-09 18:05 ?7205次閱讀
    你必須了解的<b class='flag-5'>AXI</b><b class='flag-5'>總線</b>詳解

    ZYNQ中DMA與AXI4總線

    ZYNQ中DMA與AXI4總線 為什么在ZYNQ中DMA和AXI聯(lián)系這么密切?通過上面的介紹我們知道ZYNQ中基本是以AXI總線完成相關(guān)功能
    的頭像 發(fā)表于 11-02 11:27 ?4183次閱讀
    ZYNQ中DMA與<b class='flag-5'>AXI</b>4<b class='flag-5'>總線</b>

    淺談ZYNQ-AXI總線信號接口要求以及時序關(guān)系

    學(xué)習(xí)內(nèi)容 學(xué)習(xí)關(guān)于AXI總線信號接口的具體要求(包括不同通道之間的關(guān)系,握手機(jī)制說明等)和AXI4-Lite的相關(guān)信息,在文章后半部分對
    的頭像 發(fā)表于 06-01 10:57 ?2432次閱讀
    淺談ZYNQ-<b class='flag-5'>AXI</b><b class='flag-5'>總線</b>的<b class='flag-5'>信號</b>接口要求以及時序關(guān)系

    AXI總線學(xué)習(xí)(AXI3&4)

    AXI總線學(xué)習(xí)AXI協(xié)議的主要特征主要結(jié)構(gòu)通道定義讀寫地址通道讀數(shù)據(jù)
    發(fā)表于 12-05 16:21 ?5次下載
    <b class='flag-5'>AXI</b><b class='flag-5'>總線</b>學(xué)習(xí)(<b class='flag-5'>AXI</b>3&4)

    AXI4協(xié)議五個不同通道的握手機(jī)制

    AXI4 協(xié)議定義了五個不同的通道,如 AXI 通道中所述。所有這些通道共享基于 VALID 和
    的頭像 發(fā)表于 05-08 11:37 ?1070次閱讀
    <b class='flag-5'>AXI</b>4協(xié)議五個不同<b class='flag-5'>通道</b>的握手機(jī)制

    AXI總線工作流程

    在zynq開發(fā)過程中,AXI總線經(jīng)常遇到,每次看到AXI總線相關(guān)的信號時都一頭霧水,仔細(xì)研究一下,將信號
    的頭像 發(fā)表于 05-25 11:22 ?854次閱讀
    <b class='flag-5'>AXI</b><b class='flag-5'>總線</b>工作流程