0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

按鍵消抖電路的實(shí)現(xiàn)方式

我快閉嘴 ? 來源:電子技術(shù)控 ? 作者:電子技術(shù)控 ? 2022-08-29 11:25 ? 次閱讀

為什么要進(jìn)行按鍵消抖

按鍵消抖通常的按鍵所用開關(guān)為機(jī)械彈性開關(guān),當(dāng)機(jī)械觸點(diǎn)斷開、閉合時(shí),由于機(jī)械觸點(diǎn)的彈性作用,一個(gè)按鍵開關(guān)在閉合時(shí)不會(huì)馬上穩(wěn)定地接通,在斷開時(shí)也不會(huì)一下子斷開。因而在閉合及斷開的瞬間均伴隨有一連串的抖動(dòng),為了不產(chǎn)生這種現(xiàn)象而作的措施就是按鍵消抖。

按鍵的抖動(dòng)對(duì)于人類來說是感覺不到的,但對(duì)單片機(jī)來說,則是完全可以感應(yīng)到的,而且還是一個(gè)很“漫長”的過程,因?yàn)閱纹瑱C(jī)處理的速度在“微秒”級(jí),而按鍵抖動(dòng)的時(shí)間至少在“毫秒”級(jí)。

一次按鍵動(dòng)作的電平波形如下圖。存在抖動(dòng)現(xiàn)象,其前后沿抖動(dòng)時(shí)間一般在5ms~10ms之間。由于單片機(jī)運(yùn)行速度非???,經(jīng)過A時(shí)刻的時(shí)候會(huì)檢測(cè)到低電平判斷按鍵被按下。當(dāng)?shù)搅薆時(shí)刻的時(shí)候,單片機(jī)同樣會(huì)檢測(cè)到高電平,誤以為松開按鍵,然后又到了C時(shí)刻檢測(cè)到低電平,判斷到按鍵被按下。周而復(fù)始,在5-10ms內(nèi)可能會(huì)出現(xiàn)很多次按下的動(dòng)作,每一次按鍵的動(dòng)作判斷的次數(shù)都不相同。

3bc0abca-2439-11ed-ba43-dac502259ad0.png

為確保單片機(jī)對(duì)按鍵的一次閉合僅作一次處理,必須處理按鍵抖動(dòng)問題。在按鍵閉合或者釋放穩(wěn)定時(shí)再讀取按鍵的狀態(tài)。

硬件消抖電路

硬件消抖一般有兩種實(shí)現(xiàn)方式:

RS觸發(fā)器

電容濾波

RS觸發(fā)器

利用RS觸發(fā)器來吸收按鍵的抖動(dòng)。一旦有鍵按下,觸發(fā)器立即翻轉(zhuǎn),觸電的抖動(dòng)便不會(huì)再對(duì)輸出產(chǎn)生影響,按鍵釋放時(shí)也一樣。RS觸發(fā)電路消抖電路圖如下。

3bee9f26-2439-11ed-ba43-dac502259ad0.png

電容濾波

將電容并聯(lián)在按鍵的兩端,利用電容的放電的延時(shí)特性。將產(chǎn)生抖動(dòng)的電平通過電容吸收掉。從而達(dá)到消抖的作用,電容消抖電路圖如下圖所示。

3c15298e-2439-11ed-ba43-dac502259ad0.png

總結(jié)

實(shí)際上,在沒有MCU的情況下,對(duì)按鍵進(jìn)行消抖通常是通過硬件消抖電路來實(shí)現(xiàn)。而在嵌入式開發(fā)中,大多數(shù)情況下都是通過程序來實(shí)現(xiàn)按鍵消抖。簡(jiǎn)單說就是加合適的延遲,顯然這實(shí)現(xiàn)成本要比硬件電路方式低得多。

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 單片機(jī)
    +關(guān)注

    關(guān)注

    6023

    文章

    44376

    瀏覽量

    628326
  • mcu
    mcu
    +關(guān)注

    關(guān)注

    146

    文章

    16665

    瀏覽量

    347756
  • 按鍵消抖
    +關(guān)注

    關(guān)注

    2

    文章

    24

    瀏覽量

    10422

原文標(biāo)題:硬件按鍵消抖電路原理,實(shí)現(xiàn)方式有哪些

文章出處:【微信號(hào):電子技術(shù)控,微信公眾號(hào):電子技術(shù)控】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    按鍵的硬件電路原理詳解

    按鍵通常的按鍵所用開關(guān)為機(jī)械彈性開關(guān),當(dāng)機(jī)械觸點(diǎn)斷開、閉合時(shí),由于機(jī)械觸點(diǎn)的彈性作用,一個(gè)按鍵開關(guān)在閉合時(shí)不會(huì)馬上穩(wěn)定地接通,在斷開時(shí)也
    的頭像 發(fā)表于 02-02 09:42 ?8936次閱讀
    <b class='flag-5'>按鍵</b>的硬件<b class='flag-5'>消</b><b class='flag-5'>抖</b><b class='flag-5'>電路</b>原理詳解

    單片機(jī)的按鍵與幾種按鍵電路

    按鍵電路 一、 硬件按鍵電路控制
    的頭像 發(fā)表于 12-17 07:45 ?10.6w次閱讀
    單片機(jī)的<b class='flag-5'>按鍵</b><b class='flag-5'>消</b><b class='flag-5'>抖</b>與幾種<b class='flag-5'>按鍵</b><b class='flag-5'>電路</b>

    按鍵

    請(qǐng)問大家的按鍵是用什么方法解決的,如普通的按鍵如何。
    發(fā)表于 09-26 22:17

    按鍵怎么實(shí)現(xiàn)

    各位大神,這個(gè)圖是怎么實(shí)現(xiàn)的?
    發(fā)表于 08-04 09:00

    技術(shù)分享:明德?lián)P按鍵的原理和基于fpga的設(shè)計(jì)

    高頻抖動(dòng)略去。需要注意的是,軟件需要占據(jù)一定的系統(tǒng)資源。盡管硬件和軟件
    發(fā)表于 08-02 10:38

    請(qǐng)問怎樣去設(shè)計(jì)一種按鍵電路?

    按鍵電路結(jié)構(gòu)與電路模型按鍵
    發(fā)表于 04-29 06:13

    MCU按鍵問題

    按鍵問題機(jī)械按鍵是必須的,1、延時(shí)
    發(fā)表于 11-04 06:37

    有什么方法去實(shí)現(xiàn)按鍵

    怎樣用軟件即程序來實(shí)現(xiàn)按鍵呢?有什么方法去實(shí)現(xiàn)按鍵
    發(fā)表于 12-15 07:25

    按鍵都有哪些處理方式?

    按鍵都有哪些處理方式除了硬件電路用軟件怎么
    發(fā)表于 11-01 07:06

    基于VHDL語言的按鍵電路設(shè)計(jì)及仿真

    基于VHDL語言的按鍵電路設(shè)計(jì)及仿真  按鍵開關(guān)是電子設(shè)備實(shí)現(xiàn)人機(jī)對(duì)話的重要器件之一。由于
    發(fā)表于 01-04 10:39 ?5897次閱讀
    基于VHDL語言的<b class='flag-5'>按鍵</b><b class='flag-5'>消</b><b class='flag-5'>抖</b><b class='flag-5'>電路</b>設(shè)計(jì)及仿真

    基于FPGA的按鍵電路設(shè)計(jì)

    采用了VHDL語言編程的設(shè)計(jì)方法,通過FPGA來實(shí)現(xiàn)按鍵的硬件電路。論述了基于計(jì)數(shù)器、RS觸發(fā)器和狀態(tài)機(jī)3種方法來
    發(fā)表于 12-05 14:13 ?224次下載

    VHDL—按鍵

    按鍵檢測(cè)需要,一般有硬件和軟件兩種方式。硬件就是加去抖動(dòng)電路,這樣從根本上解決按鍵抖動(dòng)問題。
    發(fā)表于 11-11 17:17 ?2次下載

    vhdl按鍵程序(七種方式實(shí)現(xiàn)按鍵

    按鍵通常的按鍵所用開關(guān)為機(jī)械彈性開關(guān),當(dāng)機(jī)械觸點(diǎn)斷開、閉合時(shí),由于機(jī)械觸點(diǎn)的彈性作用,一個(gè)按鍵開關(guān)在閉合時(shí)不會(huì)馬上穩(wěn)定地接通,在斷開時(shí)也
    發(fā)表于 01-29 16:04 ?5.6w次閱讀
    vhdl<b class='flag-5'>按鍵</b><b class='flag-5'>消</b><b class='flag-5'>抖</b>程序(七種<b class='flag-5'>方式</b><b class='flag-5'>實(shí)現(xiàn)</b><b class='flag-5'>按鍵</b><b class='flag-5'>消</b><b class='flag-5'>抖</b>)

    按鍵/開關(guān)量信號(hào)監(jiān)測(cè)實(shí)現(xiàn)方案

    關(guān)于按鍵或者開關(guān)量信號(hào)監(jiān)測(cè),可以參考本公眾號(hào)的另外一篇原創(chuàng)文章:按鍵常用的軟硬件方法。在
    的頭像 發(fā)表于 09-19 11:35 ?803次閱讀

    如何在FPGA中實(shí)現(xiàn)按鍵

    在FPGA(現(xiàn)場(chǎng)可編程門陣列)中實(shí)現(xiàn)按鍵是一個(gè)重要的設(shè)計(jì)環(huán)節(jié),特別是在處理用戶輸入時(shí),由于物理按鍵的機(jī)械特性和電氣特性,
    的頭像 發(fā)表于 08-19 18:15 ?635次閱讀