0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA靜態(tài)時(shí)序分析詳解

FPGA設(shè)計(jì)論壇 ? 來源:FPGA設(shè)計(jì)論壇 ? 作者:FPGA設(shè)計(jì)論壇 ? 2022-09-27 14:45 ? 次閱讀

1. 應(yīng)用背景

靜態(tài)時(shí)序分析簡(jiǎn)稱STA,它是一種窮盡的分析方法,它按照同步電路設(shè)計(jì)的要求,根據(jù)電路網(wǎng)表的拓?fù)浣Y(jié)構(gòu),計(jì)算并檢查電路中每一個(gè)DFF(觸發(fā)器)的建立和保持時(shí)間以及其他基于路徑的時(shí)延要求是否滿足。STA作為FPGA設(shè)計(jì)的主要驗(yàn)證手段之一,不需要設(shè)計(jì)者編寫測(cè)試向量,由軟件自動(dòng)完成分析,驗(yàn)證時(shí)間大大縮短,測(cè)試覆蓋率可達(dá)100%。

靜態(tài)時(shí)序分析的前提就是設(shè)計(jì)者先提出要求,然后時(shí)序分析工具才會(huì)根據(jù)特定的時(shí)序模型進(jìn)行分析,給出正確是時(shí)序報(bào)告。

進(jìn)行靜態(tài)時(shí)序分析,主要目的就是為了提高系統(tǒng)工作主頻以及增加系統(tǒng)的穩(wěn)定性。對(duì)很多數(shù)字電路設(shè)計(jì)來說,提高工作頻率非常重要,因?yàn)楦吖ぷ黝l率意味著高處理能力。通過附加約束可以控制邏輯的綜合、映射、布局和布線,以減小邏輯和布線延時(shí),從而提高工作頻率。

2. 理論分析

2.1 靜態(tài)時(shí)序分析的理論基礎(chǔ)知識(shí)

在進(jìn)行正確的時(shí)序分析前,我們必須具備基本的靜態(tài)時(shí)序的基本知識(shí)點(diǎn),不然看著編譯器給出的時(shí)序分析報(bào)告猶如天書。如圖3.1所示,為libero軟件給出的寄存器到寄存器模型的時(shí)序分析報(bào)告的截取,接下來我們會(huì)弄清楚每個(gè)欄目的數(shù)據(jù)變量的含義,以及計(jì)算方法。

2c1c206a-3e19-11ed-9e49-dac502259ad0.jpg

圖3.1 libero靜態(tài)時(shí)序分析報(bào)告

2.1.1 固定參數(shù)launch edge、latch edge、Tsu、Th、Tco概念

1. launch edge

時(shí)序分析起點(diǎn)(launch edge):第一級(jí)寄存器數(shù)據(jù)變化的時(shí)鐘邊沿,也是靜態(tài)時(shí)序分析的起點(diǎn)。

2. latch edge

時(shí)序分析終點(diǎn)(latch edge):數(shù)據(jù)鎖存的時(shí)鐘邊沿,也是靜態(tài)時(shí)序分析的終點(diǎn)。

3. Clock Setup Time (Tsu)

建立時(shí)間(Tsu):是指在時(shí)鐘沿到來之前數(shù)據(jù)從不穩(wěn)定到穩(wěn)定所需的時(shí)間,如果建立的時(shí)間不滿足要求那么數(shù)據(jù)將不能在這個(gè)時(shí)鐘上升沿被穩(wěn)定的打入觸發(fā)器。如圖3.2所示:

2c43b03a-3e19-11ed-9e49-dac502259ad0.jpg

圖3.2 建立時(shí)間圖解

4. Clock Hold Time (Th)

保持時(shí)間(Th):是指數(shù)據(jù)穩(wěn)定后保持的時(shí)間,如果保持時(shí)間不滿足要求那么數(shù)據(jù)同樣也不能被穩(wěn)定的打入觸發(fā)器。保持時(shí)間示意圖如圖3.3所示:

2c5e765e-3e19-11ed-9e49-dac502259ad0.jpg

圖3.3 保持時(shí)間圖解

5. Clock-to-Output Delay(tco)

數(shù)據(jù)輸出延時(shí)(Tco):這個(gè)時(shí)間指的是當(dāng)時(shí)鐘有效沿變化后,數(shù)據(jù)從輸入端到輸出端的最小時(shí)間間隔。

2.1.2 Clock skew

時(shí)鐘偏斜(clock skew):是指一個(gè)時(shí)鐘源到達(dá)兩個(gè)不同寄存器時(shí)鐘端的時(shí)間偏移,如圖3.4所示:

2c80c560-3e19-11ed-9e49-dac502259ad0.jpg

圖3.4 時(shí)鐘偏斜

時(shí)鐘偏斜計(jì)算公式如下:

Tskew = Tclk2 - Tclk1(公式3-1)

2.1.3 Data Arrival Time

數(shù)據(jù)到達(dá)時(shí)間(Data Arrival Time):輸入數(shù)據(jù)在有效時(shí)鐘沿后到達(dá)所需要的時(shí)間。主要分為三部分:時(shí)鐘到達(dá)寄存器時(shí)間(Tclk1),寄存器輸出延時(shí)(Tco)和數(shù)據(jù)傳輸延時(shí)(Tdata),如圖3.5所示

2cc5d218-3e19-11ed-9e49-dac502259ad0.jpg

圖3.5 數(shù)據(jù)到達(dá)時(shí)間

數(shù)據(jù)到達(dá)時(shí)間計(jì)算公式如下:

Data Arrival Time = Launch edge + Tclk1 +Tco + Tdata(公式3-2)

2.1.4 Clock Arrival Time

時(shí)鐘到達(dá)時(shí)間(Clock Arrival Time):時(shí)鐘從latch邊沿到達(dá)鎖存寄存器時(shí)鐘輸入端所消耗的時(shí)間為時(shí)鐘到達(dá)時(shí)間,如圖3.6所示

2ce90dd2-3e19-11ed-9e49-dac502259ad0.jpg

圖3.6 時(shí)鐘到達(dá)時(shí)間

時(shí)鐘到達(dá)時(shí)間計(jì)算公式如下:

Clock Arrival Time = Lacth edge + Tclk2(公式3-3)

2.1.5 Data Required Time(setup/hold)

數(shù)據(jù)需求時(shí)間(Data Required Time):在時(shí)鐘鎖存的建立時(shí)間和保持時(shí)間之間數(shù)據(jù)必須穩(wěn)定,從源時(shí)鐘起點(diǎn)達(dá)到這種穩(wěn)定狀態(tài)需要的時(shí)間即為數(shù)據(jù)需求時(shí)間。如圖3.7所示:

2d0524b8-3e19-11ed-9e49-dac502259ad0.jpg

圖3.7 數(shù)據(jù)需求時(shí)間

(建立)數(shù)據(jù)需求時(shí)間計(jì)算公式如下:

Data Required Time = Clock Arrival Time - Tsu(公式3-4)

(保持)數(shù)據(jù)需求時(shí)間計(jì)算公式如下:

Data Required Time = Clock Arrival Time + Th (公式3-5)

2.1.6 Setup slack

建立時(shí)間余量(setup slack):當(dāng)數(shù)據(jù)需求時(shí)間大于數(shù)據(jù)到達(dá)時(shí)間時(shí),就說時(shí)間有余量,Slack是表示設(shè)計(jì)是否滿足時(shí)序的一個(gè)稱謂。

2d2d1c20-3e19-11ed-9e49-dac502259ad0.jpg

圖3.8 建立時(shí)間余量

如圖3.8所示,建立時(shí)間余量的計(jì)算公式如下:

Setup slack = Data Required Time - Data Arrival Time(公式3-6)

由公式可知,正的slack表示數(shù)據(jù)需求時(shí)間大于數(shù)據(jù)到達(dá)時(shí)間,滿足時(shí)序(時(shí)序的余量),負(fù)的slack表示數(shù)據(jù)需求時(shí)間小于數(shù)據(jù)到達(dá)時(shí)間,不滿足時(shí)序(時(shí)序的欠缺量)。

2.1.7 時(shí)鐘最小周期

時(shí)鐘最小周期:系統(tǒng)時(shí)鐘能運(yùn)行的最高頻率。

1. 當(dāng)數(shù)據(jù)需求時(shí)間大于數(shù)據(jù)到達(dá)時(shí)間時(shí),時(shí)鐘具有余量;

2. 當(dāng)數(shù)據(jù)需求時(shí)間小于數(shù)據(jù)到達(dá)時(shí)間時(shí),不滿足時(shí)序要求,寄存器經(jīng)歷亞穩(wěn)態(tài)或者不能正確獲得數(shù)據(jù);

3. 當(dāng)數(shù)據(jù)需求時(shí)間等于數(shù)據(jù)到達(dá)時(shí)間時(shí),這是最小時(shí)鐘運(yùn)行頻率,剛好滿足時(shí)序。

從以上三點(diǎn)可以得出最小時(shí)鐘周期為數(shù)據(jù)到達(dá)時(shí)間等于數(shù)據(jù)需求時(shí)間,的運(yùn)算公式如下:

Data Required Time = Data Arrival Time(公式3-7)

由上式推出如下公式:

Tmin + Latch edge + Tclk2 - Tsu = Launch edge + Tclk1 + Tco + Tdata

最終推出最小時(shí)鐘周期為:

Tmin = Tco + Tdata + Tsu -Tskew(公式3-8)

3. 應(yīng)用分析

3.1 設(shè)置時(shí)鐘主頻約束

所有的靜態(tài)時(shí)序分析都是在有約束的情況下編譯器才給出分析報(bào)告,所以進(jìn)行時(shí)序分析的第一步就是設(shè)置約束。

Libero軟件設(shè)置時(shí)鐘約束的途徑三種,單時(shí)鐘約束,多時(shí)鐘約束和在Designer里面進(jìn)行約束。

3.1.1 單時(shí)鐘約束

有時(shí)我們系統(tǒng)所有模塊都采用同一個(gè)時(shí)鐘,這種方式最為簡(jiǎn)單,直接在Synplify主界面上有個(gè)設(shè)置時(shí)鐘約束的,如圖4.1中紅框所示:

2d8216e4-3e19-11ed-9e49-dac502259ad0.jpg

圖4.1 單時(shí)鐘設(shè)置

設(shè)置完成后,編譯,通過Synplify時(shí)鐘報(bào)告看初步時(shí)鐘運(yùn)行頻率能否達(dá)到要求,時(shí)鐘報(bào)告如圖4.2所示,設(shè)定100Mhz,能運(yùn)行102.7Mhz,滿足時(shí)序。

2dfe78a6-3e19-11ed-9e49-dac502259ad0.jpg

圖4.2 時(shí)序報(bào)告

3.2 多時(shí)鐘約束

當(dāng)系統(tǒng)內(nèi)部模塊采用了多個(gè)時(shí)鐘時(shí),那就需要進(jìn)行多時(shí)鐘約束了。首先需要打開設(shè)置界面,在Synplify中選擇:File->New->Constraint File建立SDC文件,選擇時(shí)鐘約束如圖4.3所示:

2e1d6c3e-3e19-11ed-9e49-dac502259ad0.jpg

圖4.3 多時(shí)鐘約束

對(duì)時(shí)鐘進(jìn)行如下約束后保存SDC文件,約束如圖4.4所示

2e48825c-3e19-11ed-9e49-dac502259ad0.jpg

圖4.4 多時(shí)鐘約束完成

3.3 Designer SmartTime時(shí)鐘約束

時(shí)鐘約束除了在Synplify中可以約束外,還可以在Designer SmartTime中設(shè)置時(shí)鐘約束,打開Designer Constraint,選擇Clock進(jìn)行針對(duì)每個(gè)使用時(shí)鐘的設(shè)置,如圖4.5所示:

2e78bb16-3e19-11ed-9e49-dac502259ad0.jpg

圖4.5 Designer時(shí)序約束

4.4 時(shí)序報(bào)告分析

3.4.1 Synplify時(shí)序報(bào)告

當(dāng)約束了時(shí)序后,需要觀察時(shí)序報(bào)告,看時(shí)鐘能否達(dá)到我們需要的時(shí)鐘,首先觀察Synplify綜合報(bào)告。以多時(shí)鐘約束為例子,從Synplify得到的時(shí)序報(bào)告如圖4.6所示:

2ec6025e-3e19-11ed-9e49-dac502259ad0.jpg

圖4.6 多時(shí)鐘約束時(shí)序報(bào)告

由上圖可知時(shí)序都滿足約束,未出現(xiàn)違規(guī),可以在下面的報(bào)告中查看最差路徑,如圖4.7所示是clk2的最差路徑。

2ee12aa2-3e19-11ed-9e49-dac502259ad0.jpg

圖4.7 最差路徑

3.4.2 Designer SmartTime時(shí)序分析報(bào)告

當(dāng)設(shè)計(jì)經(jīng)過Synplify綜合給出網(wǎng)表文件后,還需要Designer進(jìn)行布局布線,通過布局布線優(yōu)化后的時(shí)序會(huì)有變化,因此,還需要分析布局布線后的時(shí)序,打開Designer->Timing Analyzer查閱整體時(shí)序分析報(bào)告如圖4.8所示:

2f0e1120-3e19-11ed-9e49-dac502259ad0.jpg

圖4.8 布局布線后時(shí)序報(bào)告

由Synplify綜合后的報(bào)告和Designer進(jìn)行布局布線后的報(bào)告可以看出,布局布線后優(yōu)化了一些時(shí)序,特別是clk2時(shí)鐘,通過布局布線后優(yōu)化到了184Mhz,完全滿足時(shí)序。

3.4.3 詳細(xì)時(shí)序報(bào)告圖

通過Synplify綜合后的和Designer進(jìn)行布局布線都只是看到了一個(gè)大體的時(shí)序報(bào)告,當(dāng)我們需要分析時(shí)序時(shí)候必須觀察仔細(xì)的時(shí)序報(bào)告,在SmartTime中提供這種報(bào)告功能,以clk2分析為例,在Timing Analyzer找到如下區(qū)域。

2f4fdc04-3e19-11ed-9e49-dac502259ad0.jpg

圖4.9 時(shí)序報(bào)告選擇

如圖4.9所示,選擇寄存器到寄存器進(jìn)行分析時(shí)鐘主頻。

2f6b0ce0-3e19-11ed-9e49-dac502259ad0.jpg

圖4.10 寄存器到寄存器分析

如圖4.10所示,時(shí)序報(bào)告中給出了數(shù)據(jù)延時(shí),時(shí)序余量,數(shù)據(jù)到達(dá)時(shí)間,數(shù)據(jù)需求時(shí)間,數(shù)據(jù)建立時(shí)間,以及最小周期和時(shí)鐘偏斜等信息,有了上一節(jié)的時(shí)序分析基礎(chǔ)知識(shí),我們完全能看懂這些數(shù)據(jù)代表的意義,這樣對(duì)我們時(shí)序分析就知己知彼,進(jìn)一步雙擊其中一條路徑,還會(huì)給出這條路徑的硬件電路圖,如圖4.11所示,有了這些詳細(xì)的時(shí)序報(bào)告,對(duì)設(shè)計(jì)進(jìn)行調(diào)整更加清晰。

2f93e002-3e19-11ed-9e49-dac502259ad0.jpg

圖4.11 硬件路徑

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598879
  • STA
    STA
    +關(guān)注

    關(guān)注

    0

    文章

    51

    瀏覽量

    18904
  • 靜態(tài)時(shí)序分析

    關(guān)注

    0

    文章

    28

    瀏覽量

    9561

原文標(biāo)題:FPGA靜態(tài)時(shí)序分析模型——寄存器到寄存器

文章出處:【微信號(hào):gh_9d70b445f494,微信公眾號(hào):FPGA設(shè)計(jì)論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    靜態(tài)時(shí)序分析原理及詳細(xì)過程

    靜態(tài)時(shí)序分析是檢查IC系統(tǒng)時(shí)序是否滿足要求的主要手段。以往時(shí)序的驗(yàn)證依賴于仿真,采用仿真的方法,覆蓋率跟所施加的激勵(lì)有關(guān),有些
    的頭像 發(fā)表于 11-25 11:03 ?9678次閱讀
    <b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b>的<b class='flag-5'>分析</b>原理及詳細(xì)過程

    FPGA quartus ii里的靜態(tài)時(shí)序分析

    fpga工程中加入時(shí)序約束的目的: 1、給quartusii 提出時(shí)序要求; 2、quartusii 在布局布線時(shí)會(huì)盡量?jī)?yōu)先去滿足給出的時(shí)序要求; 3、STA
    的頭像 發(fā)表于 11-25 11:39 ?5862次閱讀
    <b class='flag-5'>FPGA</b> quartus ii里的<b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>

    使用pt對(duì)fpga進(jìn)行靜態(tài)時(shí)序分析需要哪些文件

    各位好,初次使用pt對(duì)fpga進(jìn)行靜態(tài)時(shí)序分析,想請(qǐng)教下需要哪些文件。是不是需要:1、在ise或qutartus生成的網(wǎng)表2、SDC文件3、.db文件.db文件必須且只能從dc生成嗎,
    發(fā)表于 12-18 16:15

    靜態(tài)時(shí)序分析與邏輯(華為內(nèi)部培訓(xùn)資料)

    靜態(tài)時(shí)序概念,目的 靜態(tài)時(shí)序分析路徑,方法 靜態(tài)時(shí)序
    發(fā)表于 07-09 18:28 ?130次下載

    靜態(tài)時(shí)序分析在高速 FPGA設(shè)計(jì)中的應(yīng)用

    介紹了采用STA (靜態(tài)時(shí)序分析)對(duì)FPGA (現(xiàn)場(chǎng)可編程門陣列)設(shè)計(jì)進(jìn)行時(shí)序驗(yàn)證的基本原理,并介紹了幾種與STA相關(guān)聯(lián)的
    發(fā)表于 05-27 08:58 ?70次下載
    <b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>在高速 <b class='flag-5'>FPGA</b>設(shè)計(jì)中的應(yīng)用

    靜態(tài)時(shí)序分析基礎(chǔ)及應(yīng)用

    _靜態(tài)時(shí)序分析(Static_Timing_Analysis)基礎(chǔ)及應(yīng)用[1]。
    發(fā)表于 05-09 10:59 ?31次下載

    靜態(tài)時(shí)序分析基礎(chǔ)及應(yīng)用

    靜態(tài)時(shí)序分析基礎(chǔ)及應(yīng)用
    發(fā)表于 01-24 16:54 ?7次下載

    FPGA進(jìn)行靜態(tài)時(shí)序分析

    靜態(tài)時(shí)序分析簡(jiǎn)稱STA,它是一種窮盡的分析方法,它按照同步電路設(shè)計(jì)的要求,根據(jù)電路網(wǎng)表的拓?fù)浣Y(jié)構(gòu),計(jì)算并檢查電路中每一個(gè)DFF(觸發(fā)器)的建立和保持時(shí)間以及其他基于路徑的時(shí)延要求是否滿
    發(fā)表于 09-01 10:45 ?3145次閱讀
    <b class='flag-5'>FPGA</b>進(jìn)行<b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>

    正點(diǎn)原子FPGA靜態(tài)時(shí)序分析時(shí)序約束教程

    時(shí)序分析結(jié)果,并根據(jù)設(shè)計(jì)者的修復(fù)使設(shè)計(jì)完全滿足時(shí)序約束的要求。本章包括以下幾個(gè)部分: 1.1 靜態(tài)時(shí)序
    發(fā)表于 11-11 08:00 ?60次下載
    正點(diǎn)原子<b class='flag-5'>FPGA</b><b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>與<b class='flag-5'>時(shí)序</b>約束教程

    華為FPGA硬件的靜態(tài)時(shí)序分析與邏輯設(shè)計(jì)

    本文檔的主要內(nèi)容詳細(xì)介紹的是華為FPGA硬件的靜態(tài)時(shí)序分析與邏輯設(shè)計(jì)包括了:靜態(tài)時(shí)序
    發(fā)表于 12-21 17:10 ?21次下載
    華為<b class='flag-5'>FPGA</b>硬件的<b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>與邏輯設(shè)計(jì)

    FPGA靜態(tài)時(shí)序分析詳細(xì)講解分析

    任何學(xué)FPGA的人都跑不掉的一個(gè)問題就是進(jìn)行靜態(tài)時(shí)序分析。靜態(tài)時(shí)序
    發(fā)表于 01-12 17:48 ?19次下載
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>詳細(xì)講解<b class='flag-5'>分析</b>

    FPGA靜態(tài)時(shí)序分析的理論和參數(shù)說明

    靜態(tài)時(shí)序分析的前提就是設(shè)計(jì)者先提出要求,然后時(shí)序分析工具才會(huì)根據(jù)特定的時(shí)序模型進(jìn)行
    發(fā)表于 01-12 17:48 ?15次下載
    <b class='flag-5'>FPGA</b><b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>的理論和參數(shù)說明

    時(shí)序分析靜態(tài)分析基礎(chǔ)教程

    本文檔的主要內(nèi)容詳細(xì)介紹的是時(shí)序分析靜態(tài)分析基礎(chǔ)教程。
    發(fā)表于 01-14 16:04 ?14次下載
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>的<b class='flag-5'>靜態(tài)</b><b class='flag-5'>分析</b>基礎(chǔ)教程

    解讀FPGA靜態(tài)時(shí)序分析

    任何學(xué)FPGA的人都跑不掉的一個(gè)問題就是進(jìn)行靜態(tài)時(shí)序分析。靜態(tài)時(shí)序
    的頭像 發(fā)表于 03-14 19:10 ?684次閱讀

    靜態(tài)時(shí)序分析的相關(guān)概念

    ??本文主要介紹了靜態(tài)時(shí)序分析 STA。
    的頭像 發(fā)表于 07-04 14:40 ?1021次閱讀
    <b class='flag-5'>靜態(tài)</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>的相關(guān)概念