0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

RTL表達式和運算符

OpenFPGA ? 來源:OpenFPGA ? 作者:OpenFPGA ? 2022-10-11 10:15 ? 次閱讀

數字硬件建模SystemVerilog-歸約運算符(Reduction operators)

經過幾周的更新,SV核心部分用戶自定義類型和包內容已更新完畢,接下來就是RTL表達式和運算符。

馬上HDLBits-SystemVerilog版本也開始準備了,基本這一部分完成后就開始更新~

55939fba-4902-11ed-a3b6-dac502259ad0.png

介紹

歸約運算符對單個操作數的所有位執(zhí)行運算,并返回標量(1位)結果。表5-9列出了歸約運算符。

55c78f6e-4902-11ed-a3b6-dac502259ad0.png 表5-9:RTL建模的歸約運算符

歸約運算符包括一個NAND和一個NOR運算符,這是按位運算符所沒有的。歸約AND OR 和 XOR 運算符一次執(zhí)行一位操作,從最右邊的位(最低有效位)向最左邊的位(最高有效位)移動。歸約NAND、NOR和XNOR運算符首先分別執(zhí)行歸約AND、OR或XOR運算,然后反轉1位結果。

AND、NAND或NOR運算符是X-optimistic。對于歸約運算符,如果操作數中的任何位為0,結果將為1’b0。對于歸約NAND,如果操作數中的任何位為0,結果將為1’b1。類似地,對于歸約運算符,或者如果操作數中的任何位為l,結果將為1’b1。對于歸約NOR,如果操作數中的任何位為l,結果將是1’b0.歸約XOR和XNOR運算符是X-pessimistic。如果操作數的任何一位是X或Z,結果將是1’bx。表5-10顯示了幾個示例值的每個歸約運算符的結果。

表5-10:歸約操作的示例結果 56a8f850-4902-11ed-a3b6-dac502259ad0.png

示例5-6說明了一個小型RTL模型,該模型利用歸約運算符檢查數據值的正確奇偶性,圖5-6顯示了該RTL模型綜合結果。

示例5-6:使用歸約運算符:使用異或的奇偶校驗

//
//Book,"RTLModelingwithSystemVerilogforASICandFPGADesign"
//byStuartSutherland
//
//Paritycheckerusingevenparity,registerederrorflag
//
//Copyright2016,StuartSutherland.Allrightsreserved.
//
//Version1.0
//

//
//User-definedtypedefinitions
//
`begin_keywords"1800-2012"http://useSystemVerilog-2012keywords
packagedefinitions_pkg;
typedefstruct{
logic[7:0]data;
logicparity_bit;
}data_t;
endpackage:definitions_pkg
`end_keywords


//
//Paritycheckerusingevenparity,registerederrorflag.
//Thecombineddatavalueplusparitybitshouldalwayshave
//anevennumberofbitssetto1
//
`begin_keywords"1800-2012"http://useSystemVerilog-2012keywords
moduleparity_checker
importdefinitions_pkg::*;
(inputdata_tdata_in,//9-bitstructureinput
inputclk,//clockinput
inputrstN,//active-lowasynchronousreset
outputlogicerror//setifparityerrordetected
);
timeunit1ns/1ns;

always_ff@(posedgeclk,negedgerstN)
if(!rstN)error<=?0;
???else???????error?<=?^{data_in.parity_bit,?data_in.data};
?????//?reduction-XOR?returns?1?if?an?odd?number?of?bits?are
?????//?set?in?the?combined?data?and?parity_bit
endmodule:?parity_checker
`end_keywords

該文件的仿真文件如下:

//
//Book,"RTLModelingwithSystemVerilogforASICandFPGADesign"
//byStuartSutherland
//
//Testbench
//
//Copyright2016,StuartSutherland.Allrightsreserved.
//
//Version1.0
//
`begin_keywords"1800-2012"
moduletest
importdefinitions_pkg::*;
(outputlogicrstN,
outputdata_tdata_in,
inputlogicerror,
inputlogicclk
);
timeunit1ns/1ns;

//generatestimulus
initialbegin
$timeformat(-9,0,"ns",6);//nanoseconds,noprecision,6columns
rstN<=?0;????????????????????//?reset?DUT?(active?low)
????repeat(2)??@(negedge?clk)?;???//?hold?reset?for?2?clock?cycles
????rstN?=?1;?????????????????????//?remove?reset
????repeat?(10)?begin
??????@(negedge?clk)?;
??????data_in.data?=?$urandom();
??????data_in.parity_bit?=?$urandom()%2;??//?randomly?wrong?parity?value
??????@(negedge?clk)?check_results;
????end
????@(negedge?clk)?$finish;
??end

??//?verify?results
??task?check_results;
????$write("At?%t:?data=%b??parity_bit=%b:??",?$time,?data_in.data,?data_in.parity_bit);
????if?(^data_in.data?===?data_in.parity_bit)?begin:?good_data_in
??????$write("Good?data_in.?EXPECT:?error?=?0,?ACTUAL:?%b?",?error);
??????if?(error?===?1'b0)?$display("?OK");
??????else????????????????$display("?ERROR!");
????end:?good_data_in
????else?begin:?bad_data_in
??????$write("Bad?data_in.??EXPECT:?error?=?1,?ACTUAL:?%b?",?error);
??????if?(error?===?1'b1)?$display("?OK");
??????else????????????????$display("?ERROR!");
????end:?bad_data_in
??endtask

endmodule:?test
`end_keywords

`begin_keywords?"1800-2012"
module?top;
??timeunit?1ns/1ns;
??import?definitions_pkg::*;
??parameter?WIDTH?=?8;

??logic??clk,?rstN;
??data_t?data_in;
??logic??error;

??test???????????test?(.*);
??parity_checker?dut??(.*);

??initial?begin
????clk?<=?0;
????forever?#5?clk?=?~clk;
??end
endmodule:?top
`end_keywords

圖5-6:示例5-6的綜合結果:歸約異或(奇偶校驗)

56e12fc2-4902-11ed-a3b6-dac502259ad0.png

審核編輯:湯梓紅

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • RTL
    RTL
    +關注

    關注

    1

    文章

    385

    瀏覽量

    59664
  • 運算符
    +關注

    關注

    0

    文章

    170

    瀏覽量

    11046

原文標題:SystemVerilog-歸約運算符(Reduction operators)

文章出處:【微信號:Open_FPGA,微信公眾號:OpenFPGA】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    數字硬件建模SystemVerilog-按位運算符

    經過幾周的更新,SV核心部分用戶自定義類型和包內容已更新完畢,接下來就是RTL表達式運算符。
    的頭像 發(fā)表于 08-12 14:27 ?2445次閱讀

    關于數字硬件建模SystemVerilog

    經過幾周的更新,SV核心部分用戶自定義類型和包內容已更新完畢,接下來就是RTL表達式運算符。
    的頭像 發(fā)表于 09-01 08:50 ?1370次閱讀

    C語言程序設計--運算符表達式

    c語言對數據有很強的表達能力,具有十分豐富的運算符,利用這些運算符可以組成各種表達式及語句。運算符就是完成某種特定
    發(fā)表于 07-14 21:30 ?46次下載

    單片機C語言教程-運算符表達式

    單片機C語言教程-運算符表達式   單片機C語言教程-運算符表達式  運算符的種類、優(yōu)先級和結合性  c語言中運
    發(fā)表于 03-27 17:13 ?2206次閱讀

    基于運算符信息的數學表達式檢索技術

    傳統(tǒng)的文本檢索技術主要面向一維文本,難以用于對二維結構數學表達式的檢索。針對該問題,通過引入公式描述結構,實現基于運算符信息的數學表達式檢索。利用公式描述結構提取算法獲取 Latex數學表達式
    發(fā)表于 04-29 15:58 ?2次下載
    基于<b class='flag-5'>運算符</b>信息的數學<b class='flag-5'>表達式</b>檢索技術

    RTL表達式運算符

    運算符對操作數執(zhí)行操作。大多數運算符都有兩個操作數。
    的頭像 發(fā)表于 07-21 09:11 ?1677次閱讀

    RTL表達式運算符

    經過幾周的更新,SV核心部分用戶自定義類型和包內容已更新完畢,接下來就是RTL表達式運算符
    的頭像 發(fā)表于 07-27 09:11 ?1660次閱讀

    SystemVerilog-運算符/表達式規(guī)則

    RTL建模中廣泛使用的運算符是條件運算符,也稱為三元運算符,該運算符用于在兩個表達式之間進行選擇
    的頭像 發(fā)表于 08-03 09:03 ?2999次閱讀

    關于RTL表達式運算符

    經過幾周的更新,SV核心部分用戶自定義類型和包內容已更新完畢,接下來就是RTL表達式運算符。
    的頭像 發(fā)表于 09-01 09:13 ?1809次閱讀

    RTL表達式運算符

    決策語句(Decision statements)允許程序塊的執(zhí)行流程根據設計中信號的當前值分支到特定語句。SystemVerilog有兩個主要的決策語句:if…else語句和case語句,使用關鍵字case、case…inside,casex和casez。
    的頭像 發(fā)表于 10-21 09:04 ?1284次閱讀

    RTL表達式運算符

    經過幾周的更新,SV核心部分用戶自定義類型和包內容已更新完畢,接下來就是RTL表達式運算符。
    的頭像 發(fā)表于 11-03 09:14 ?1293次閱讀

    運算符/表達式規(guī)則

    運算符對操作數執(zhí)行操作。大多數運算符都有兩個操作數。例如,在運算a+b中,+(加法)運算的操作數是a和b。每個操作數都被稱為表達式,
    的頭像 發(fā)表于 02-09 15:37 ?932次閱讀
    <b class='flag-5'>運算符</b>/<b class='flag-5'>表達式</b>規(guī)則

    邏輯運算符表達式

    在C語言中,我們通常會進行真值與假值的判斷,這時我們就需要用到邏輯運算符與邏輯表達式。如果表達式的值不為0,則通通返回為真值。只有當表達式的值為0時,才會返回假值。
    的頭像 發(fā)表于 02-21 15:16 ?2033次閱讀
    邏輯<b class='flag-5'>運算符</b>與<b class='flag-5'>表達式</b>

    位邏輯運算符表達式

    位邏輯運算符與位邏輯表達式可以實現位的編輯,比如位的清零、設置、取反和取補等操作。使用位邏輯運算符與位邏輯表達式可以在不使用匯編的情況下實現部分匯編的功能
    的頭像 發(fā)表于 02-21 15:22 ?1198次閱讀
    位邏輯<b class='flag-5'>運算符</b>與<b class='flag-5'>表達式</b>

    C語言基本的算術運算符表達式

    注意:自增和自減運算符只能用于變量,而不能用于常量或表達式 **C語言算術表達式運算符的優(yōu)先級與結合性 ** 在表達式求值時,
    的頭像 發(fā)表于 03-09 10:44 ?1517次閱讀