0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

ASML透露稱:可繼續(xù)供貨中國非EUV光刻機,美國新規(guī)影響有限

傳感器專家網(wǎng) ? 2022-10-25 20:21 ? 次閱讀

近日,光刻巨頭阿斯麥(ASML)發(fā)布2022年第三季度財報。 財報顯示,2022年第三季度,ASML實現(xiàn)了凈銷售額58億歐元,毛利率為51.8%,凈利潤達17億歐元。今年第三季度新增訂單金額創(chuàng)歷史新高,達到89億歐元。ASML預計2022年第四季度凈銷售額約為61億至66億歐元,毛利率約為49%。基于第四季度預期的中位數(shù),預計2022年營收約為211億歐元。2022年因快速發(fā)貨流程產(chǎn)生的遞延到2023年的收入,預計為約22億歐元。

ASML總裁兼首席執(zhí)行官Peter Wennink表示:“第三季度的凈銷售額為58億歐元,毛利率為51.8%,高于預期。受包括通貨膨脹、消費者信心和經(jīng)濟衰退的風險等全球宏觀經(jīng)濟因素的影響,市場存在不確定性。雖然每個細分市場的需求動態(tài)存在分化,但我們整體的客戶需求依然強勁。這推動第三季度新增訂單金額達到約89億歐元,創(chuàng)下歷史新高,這其中38億歐元來自EUV系統(tǒng)訂單,包括High-NA系統(tǒng)訂單。” “我們正在繼續(xù)評估和關(guān)注美國新頒布的出口管制條例。根據(jù)我們的初步評估,新的限制并未修訂ASML從荷蘭運出光刻設(shè)備的規(guī)則,我們預計其對ASML 2023年整體出貨計劃的直接影響有限?!盤eter Wennink說。

同時,Peter Wennink還透露,公司初步評估顯示,美國出口管理條例新規(guī)對公司的2023年整體出貨計劃的直接影響有限。“我們可以繼續(xù)將非 EUV光刻工具從歐洲運送到中國。” 此外,Peter Wennink表示,ASML預計2022年第四季度凈銷售額為61億至66億歐元,毛利率約為49%。預計研發(fā)成本約為8.8億歐元,銷售及管理費用約為2.65億歐元。預計2022年全年營收約為211億歐元,2022年全年的毛利率約為50%。

審核編輯 黃昊宇

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 光刻機
    +關(guān)注

    關(guān)注

    31

    文章

    1136

    瀏覽量

    46907
  • ASML
    +關(guān)注

    關(guān)注

    7

    文章

    706

    瀏覽量

    41044
收藏 人收藏

    評論

    相關(guān)推薦

    ASML擬于2030年推出Hyper-NA EUV光刻機,將芯片密度限制再縮小

    ASML再度宣布新光刻機計劃。據(jù)報道,ASML預計2030年推出的Hyper-NA極紫外光EUV),將縮小最高電晶體密度芯片的設(shè)計限制。
    的頭像 發(fā)表于 06-18 09:57 ?318次閱讀

    后門!ASML遠程鎖光刻機!

    來源:國芯網(wǎng),謝謝 編輯:感知芯視界 Link 5月22日消息,據(jù)外媒報道,臺積電從ASML購買的EUV極紫外光刻機,暗藏后門,可以在必要的時候執(zhí)行遠程鎖定! 據(jù)《聯(lián)合早報》報道,荷蘭方面在
    的頭像 發(fā)表于 05-24 09:35 ?389次閱讀

    荷蘭阿斯麥遠程癱瘓臺積電光刻機

    阿斯麥遠程癱瘓臺積電光刻機 據(jù)彭博社爆料,有美國官員就大陸攻臺的后果私下向荷蘭和中國臺灣官
    的頭像 發(fā)表于 05-22 11:29 ?5586次閱讀

    臺積電A16制程采用EUV光刻機,2026年下半年量產(chǎn)

    據(jù)臺灣業(yè)內(nèi)人士透露,臺積電并未為A16制程配備高數(shù)值孔徑(High-NA)EUV光刻機,而選擇利用現(xiàn)有的EUV光刻機進行生產(chǎn)。相較之下,英特
    的頭像 發(fā)表于 05-17 17:21 ?707次閱讀

    ASML發(fā)貨第二臺High NA EUV光刻機,已成功印刷10nm線寬圖案

    ASML公司近日宣布發(fā)貨了第二臺High NA EUV光刻機,并且已成功印刷出10納米線寬圖案,這一重大突破標志著半導體制造領(lǐng)域的技術(shù)革新向前邁進了一大步。
    的頭像 發(fā)表于 04-29 10:44 ?681次閱讀

    英特爾突破技術(shù)壁壘:首臺商用High NA EUV光刻機成功組裝

    英特爾的研發(fā)團隊正致力于對這臺先進的ASML TWINSCAN EXE:5000 High NA EUV光刻機進行細致的校準工作,以確保其能夠順利融入未來的生產(chǎn)線。
    的頭像 發(fā)表于 04-22 15:52 ?749次閱讀

    阿斯麥(ASML)公司首臺高數(shù)值孔徑EUV光刻機實現(xiàn)突破性成果

    )光刻機,并已經(jīng)成功印刷出首批圖案。這一重要成就,不僅標志著ASML公司技術(shù)創(chuàng)新的新高度,也為全球半導體制造行業(yè)的發(fā)展帶來了新的契機。目前,全球僅有兩臺高數(shù)值孔徑EUV
    的頭像 發(fā)表于 04-18 11:50 ?610次閱讀
    阿斯麥(<b class='flag-5'>ASML</b>)公司首臺高數(shù)值孔徑<b class='flag-5'>EUV</b><b class='flag-5'>光刻機</b>實現(xiàn)突破性成果

    光刻機的發(fā)展歷程及工藝流程

    光刻機經(jīng)歷了5代產(chǎn)品發(fā)展,每次改進和創(chuàng)新都顯著提升了光刻機所能實現(xiàn)的最小工藝節(jié)點。按照使用光源依次從g-line、i-line發(fā)展到KrF、ArF和EUV;按照工作原理依次從接觸接近式光刻機
    發(fā)表于 03-21 11:31 ?4821次閱讀
    <b class='flag-5'>光刻機</b>的發(fā)展歷程及工藝流程

    ASML 首臺新款 EUV 光刻機 Twinscan NXE:3800E 完成安裝

    3 月 13 日消息,光刻機制造商 ASML 宣布其首臺新款 EUV 光刻機 Twinscan NXE:3800E 已完成安裝,新機型將帶來更高的生產(chǎn)效率。 ▲
    的頭像 發(fā)表于 03-14 08:42 ?424次閱讀
    <b class='flag-5'>ASML</b> 首臺新款 <b class='flag-5'>EUV</b> <b class='flag-5'>光刻機</b> Twinscan NXE:3800E 完成安裝

    光刻機巨頭ASML要搬離荷蘭?

    據(jù)荷蘭《電訊報》3月6日報道,因荷蘭政府的反移民政策傾向,光刻機巨頭阿斯麥(ASML)正計劃搬離荷蘭。
    的頭像 發(fā)表于 03-08 14:02 ?982次閱讀

    三星清空ASML股份,11年盈利超16倍

    根據(jù)資料顯示,在2012年,為了支持ASML EUV光刻機的研發(fā)與商用,并獲得EUV光刻機的優(yōu)先供應(yīng),在2012年,英特爾、臺積電、三星均斥
    的頭像 發(fā)表于 02-23 17:27 ?864次閱讀

    荷蘭政府撤銷ASML光刻機出口許可 中方回應(yīng)美停止對華供光刻機

    在10-11月份中國進口ASML光刻機激增10多倍后,美國官員聯(lián)系了荷蘭政府。荷蘭外交發(fā)言人表示,出口許可證是根據(jù)荷蘭國家安全逐案評估的。
    的頭像 發(fā)表于 01-03 15:22 ?953次閱讀

    繞過EUV量產(chǎn)5nm!佳能CEO:納米壓印設(shè)備無法賣到中國!

    雖然目前在光刻機市場,還有尼康和佳能這兩大供應(yīng)商,但是這兩家廠商的產(chǎn)品主要都是被用于成熟制程芯片的制造,全球市場份額僅有10%左右,ASML一家占據(jù)了90%的市場份額,并壟斷了尖端的EUV光刻
    的頭像 發(fā)表于 11-23 16:14 ?969次閱讀
    <b class='flag-5'>可</b>繞過<b class='flag-5'>EUV</b>量產(chǎn)5nm!佳能CEO:納米壓印設(shè)備無法賣到<b class='flag-5'>中國</b>!

    三星希望進口更多ASML EUV***,5年內(nèi)新增50臺

    EUV曝光是先進制程芯片制造中最重要的部分,占據(jù)總時間、總成本的一半以上。由于這種光刻機極為復雜,因此ASML每年只能制造約60臺,而全球5家芯片制造商都依賴ASML
    的頭像 發(fā)表于 11-22 16:46 ?631次閱讀

    美國ASML出售***的政策變化一覽

    2020年時,ASML總裁還聲稱中國即使拿到設(shè)計圖紙也無法自制光刻機。但2021年開始,其態(tài)度出現(xiàn)變化,承認中國有可能獨立制造光刻機系統(tǒng)。2
    的頭像 發(fā)表于 10-30 16:18 ?1383次閱讀