0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

System Verilog中的Bits與Bytes是等價(jià)的嗎

芯片驗(yàn)證工程師 ? 來(lái)源:芯片驗(yàn)證工程師 ? 作者:芯片驗(yàn)證工程師 ? 2022-10-26 11:23 ? 次閱讀

正如我們所知,“bit”是無(wú)符號(hào)的,而“byte”是有符號(hào)的。那么,你認(rèn)為下面兩個(gè)聲明是等價(jià)的嗎?

bit [7:0] aBit; // Note ‘bit’ is 2-state, unsigned

byte bByte; // Note ‘byte’ is 2-state, 8-bit signed integer

答案是不等價(jià),因?yàn)椋?/p>

bit [7:0] aBit; // = 0 to 255
byte bByte; // = -128 to 127

所以,你需要小心混合bit和字byte數(shù)據(jù)類(lèi)型的計(jì)算。

同樣,你認(rèn)為以下兩種說(shuō)法等價(jià)嗎?

byte MEM_BYTES [256];
bit signed [7:0] MY_MEM_BYTES [256];

答案是等價(jià)。我們可以簡(jiǎn)單理解為

bit signed [7:0] 等價(jià)為 byte。





審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109713

原文標(biāo)題:System Verilog當(dāng)中的Bits vs Bytes

文章出處:【微信號(hào):芯片驗(yàn)證工程師,微信公眾號(hào):芯片驗(yàn)證工程師】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    Modelsim XE是否支持System Verilog

    information:-1.Does itsupport System verilog forverification?2. Code coverage support.3.Assertion support.Whichversionof Modelsim XE su
    發(fā)表于 11-27 14:20

    System Verilog常見(jiàn)問(wèn)題及語(yǔ)言參考手冊(cè)規(guī)范

    本文討論了一些System Verilog問(wèn)題以及相關(guān)的SystemVerilog 語(yǔ)言參考手冊(cè)規(guī)范。正確理解這些規(guī)格將有助于System Verilog用戶(hù)避免意外的模擬結(jié)果。
    發(fā)表于 12-11 07:19

    System Verilog問(wèn)題和語(yǔ)言參考手冊(cè)規(guī)范

    本文討論了一些System Verilog問(wèn)題以及相關(guān)的SystemVerilog 語(yǔ)言參考手冊(cè)規(guī)范。正確理解這些規(guī)格將有助于System Verilog用戶(hù)避免意外的模擬結(jié)果。
    發(fā)表于 12-24 07:07

    求大佬分享一些System Verilog的學(xué)習(xí)經(jīng)驗(yàn)

    求大佬分享一些System Verilog的學(xué)習(xí)經(jīng)驗(yàn)
    發(fā)表于 06-21 06:29

    淺析System Verilog當(dāng)中的Bits vs Bytes

    to 255byte bByte; // = -128 to 127所以,你需要小心混合bit和字byte數(shù)據(jù)類(lèi)型的計(jì)算。同樣,你認(rèn)為以下兩種說(shuō)法等價(jià)嗎?byte MEM_BYTES [256];bit signed
    發(fā)表于 10-12 14:28

    SpinalHDLBundle與普通數(shù)據(jù)類(lèi)型之間的連接賦值轉(zhuǎn)換

    。》Bundle—>Bits在SpinalHDL,無(wú)論是哪種數(shù)據(jù)類(lèi)型都是可以轉(zhuǎn)換成Bits類(lèi)型,我們擴(kuò)展Bundle類(lèi)型定義的復(fù)雜數(shù)據(jù)類(lèi)型也不例外,可以通過(guò)asBits函數(shù)將自
    發(fā)表于 10-18 14:22

    UART0想使用64 Bytes FIFO該如何設(shè)定?

    官方TRM中提到NUC230/240的UART0有提供到64 Bytes的接收緩沖區(qū),UART1及UART2只提供了16Bytes的接收緩沖區(qū),但uart.h文件RFITL設(shè)定僅供到14
    發(fā)表于 08-21 07:24

    Verilog Digital System Design

    This book is on the IEEE Standard Hardware Description Languagebased on the Verilog® Hardware
    發(fā)表于 07-23 08:59 ?0次下載
    <b class='flag-5'>Verilog</b> Digital <b class='flag-5'>System</b> Design

    VHDL,Verilog,System verilog比較

    本文簡(jiǎn)單討論并總結(jié)了VHDL、Verilog,System verilog 這三語(yǔ)言的各自特點(diǎn)和區(qū)別 As the number of enhancements
    發(fā)表于 01-17 11:32 ?0次下載

    基于System Verilog的隨機(jī)化激勵(lì)

    基于System Verilog的隨機(jī)化激勵(lì)
    發(fā)表于 10-31 09:25 ?9次下載
    基于<b class='flag-5'>System</b> <b class='flag-5'>Verilog</b><b class='flag-5'>中</b>的隨機(jī)化激勵(lì)

    FPGA CPLDVerilog設(shè)計(jì)小技巧

    FPGA CPLDVerilog設(shè)計(jì)小技巧(肇慶理士電源技術(shù)有限)-FPGA CPLDVerilog設(shè)計(jì)小技巧? ? ? ? ? ? ? ? ?
    發(fā)表于 09-18 16:49 ?37次下載
    FPGA CPLD<b class='flag-5'>中</b>的<b class='flag-5'>Verilog</b>設(shè)計(jì)小技巧

    學(xué)會(huì)這些System Verilog方法,芯片驗(yàn)證入門(mén)沒(méi)問(wèn)題

    一個(gè)掌握Verilog語(yǔ)言的工程師初次看SystemVerilog都會(huì)有這樣的感受,這就是Verilog啊,很容易啊,So easy啊。沒(méi)錯(cuò),確實(shí)是這樣,System Verilog
    的頭像 發(fā)表于 12-09 15:08 ?3241次閱讀

    淺談System Verilog的DPI機(jī)制

    System Verilog(SV)把其他編程語(yǔ)言統(tǒng)一成為外語(yǔ),F(xiàn)oreign Programming Language(FPL)。
    的頭像 發(fā)表于 05-23 15:39 ?1687次閱讀
    淺談<b class='flag-5'>System</b> <b class='flag-5'>Verilog</b>的DPI機(jī)制

    談?wù)?b class='flag-5'>Verilog/System Verilog和C的幾種交互模式

    PLI全稱(chēng) Program Language Interface,程序員可以通過(guò)PLI在verilog調(diào)用C函數(shù),這種訪(fǎng)問(wèn)是雙向的。
    的頭像 發(fā)表于 06-01 17:32 ?1288次閱讀
    談?wù)?b class='flag-5'>Verilog</b>/<b class='flag-5'>System</b> <b class='flag-5'>Verilog</b>和C的幾種交互模式

    System Verilog的概念以及與Verilog的對(duì)比

    的端口定義完成后,我們也很難改變端口的配置。 另外,一個(gè)設(shè)計(jì)的許多模塊往往具有相同的端口定義,在Verilog,我們必須在每個(gè)模塊中進(jìn)行相同的定義,這為我們?cè)黾恿藷o(wú)謂的工作量。
    的頭像 發(fā)表于 06-12 10:05 ?1215次閱讀