0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何將算法“翻譯”成Verilog?

ruikundianzi ? 來源:IP與SoC設(shè)計(jì) ? 作者:IP與SoC設(shè)計(jì) ? 2022-11-03 15:38 ? 次閱讀

我是一名數(shù)字前端IP設(shè)計(jì)工程師,方向?yàn)?a href="http://www.ttokpm.com/v/tag/1301/" target="_blank">通信芯片IP設(shè)計(jì),我的主要工作就是“翻譯”算法代碼。

經(jīng)典的ASIC開發(fā)流程主要有:

以算法設(shè)計(jì)為主導(dǎo)

算法C代碼手工轉(zhuǎn)換為RTL

RTL與算法C代碼生成的測試向量對比進(jìn)行驗(yàn)證

依賴FPGA做大量實(shí)時、現(xiàn)場測試

適合通信信號處理,音視頻處理或圖像處理等產(chǎn)品

我的工作主要就是將算法C代碼手工轉(zhuǎn)換為RTL,尤其是通信芯片的設(shè)計(jì),算法主要是將浮點(diǎn)運(yùn)算近似成定點(diǎn)運(yùn)算,定點(diǎn)的精度決定了系統(tǒng)的性能,所以一種開發(fā)模式就是,用C平臺生成的case數(shù)據(jù)和RTL仿真的數(shù)據(jù)進(jìn)行對比,保證定點(diǎn)化后的仿真性能。

所以對于單個計(jì)算模塊的開發(fā)來說,可以說就是體力活了,算法的計(jì)算過程已經(jīng)擺在那里,單就是純翻譯。

然而再復(fù)雜的算法,在設(shè)計(jì)工程師的眼里,也就是一堆數(shù)學(xué)公式,算法設(shè)計(jì)者也應(yīng)該盡量做簡單的算法實(shí)現(xiàn),比如除法,求冪次方、開平方等復(fù)雜運(yùn)算到了設(shè)計(jì)工程師這里都已經(jīng)轉(zhuǎn)化成了簡單的乘法和加法運(yùn)算。更復(fù)雜的就是累加、累乘(我所能接觸到的)。

做芯片第一應(yīng)該關(guān)注的是芯片的PPA(Performance, Power, Area),如何設(shè)計(jì)的出更高性能的電路,占用更少的資源/面積,更低的功耗。這才是我們的專業(yè)知識。

通過學(xué)習(xí)算法代碼和文檔以及協(xié)議,了解算法的計(jì)算意圖。然后進(jìn)行數(shù)據(jù)通路的分析,整體的數(shù)據(jù)流走向。哪些需要計(jì)算的數(shù)據(jù)可以用寄存器存儲,哪些數(shù)據(jù)需要用RAM存儲。模塊的劃分可拆解,哪些計(jì)算單元是功能類似的,可以做成一個小IP,乘法器同時使用的最大數(shù)量,是否能在整個大模塊中分時復(fù)用。

算法的設(shè)計(jì)中沒有時序的概念,也沒有計(jì)算時間的長短。需要設(shè)計(jì)工程師去整理整個模塊的計(jì)算流水,流水線排的時間長,需要的計(jì)算邏輯就越少,反之,面積越大。面積與速度互換思想,貫穿始終?,F(xiàn)成乘法器的數(shù)量有限,是否能加上幾個乘法器而獲得模塊整體運(yùn)算速度提高30%的收益,都需要去折中(Trade off)考慮。

排好計(jì)算流水,控制通路,一般都使用狀態(tài)機(jī)去做,當(dāng)然,狀態(tài)機(jī)怎么設(shè)計(jì)算法可不會教你。整個模塊與更高層模塊的交互,接口控制時序需要討論確定。數(shù)據(jù)通路可能還需要用到RAM/Regfile去緩存中間數(shù)據(jù)的結(jié)果,RAM/Regfile的讀寫地址控制也是常見設(shè)計(jì)。數(shù)據(jù)通路的運(yùn)算,是主要消耗資源的部分,所以一個好的詳細(xì)設(shè)計(jì)方案非常重要,同樣的設(shè)計(jì),別人可以用比你小30%的面積和少30%的時間來實(shí)現(xiàn)。這可能就是設(shè)計(jì)工程師真正的價值體現(xiàn)之處。

對于通信算法中,矩陣運(yùn)算也是比較常見的,復(fù)雜矩陣的運(yùn)算是最耗費(fèi)資源的,矩陣運(yùn)算的拆解也需要很多技巧,比如矩陣的乘法是A的第一行乘以B的第一列,累加得到第一個元素,這部分的運(yùn)算電路可以復(fù)用流水起來做。一個矩陣需要拆解合并成數(shù)個小矩陣,想要保持并行,用寄存器存儲,就會消耗的資源多。存在RAM中就是串行流水做會消耗的時間長,所以這都需要在模塊架構(gòu)設(shè)計(jì)階段去計(jì)算處理時間和評估消耗資源、折中是否采?。═rade off)。

這種大型矩陣運(yùn)算動輒幾百上千bit的寄存器輸出,連線選擇運(yùn)算,可能會造成后端congestion問題,所以方案設(shè)計(jì)的重要性又體現(xiàn)出來了。組合邏輯的運(yùn)算,如果路徑過長,時序會出現(xiàn)問題,插寄存器的位置也非常重要,消耗的寄存器的數(shù)量也是不同的,甚至可以通過手動retimming,找個寄存器把打拍的位置換一下,消耗的資源還是相同的。

對于芯片的功耗前端能做的就是,去加一些時鐘門控,模塊不用時候可以關(guān)掉,組合邏輯計(jì)算單元不用的時候避免翻轉(zhuǎn),乘法器的使能信號的控制,避免無效翻轉(zhuǎn),數(shù)據(jù)通路寄存器帶著使能打拍,工具也會自動插時鐘門控,這些就和算法沒關(guān)系了。

至于算法,當(dāng)然不同領(lǐng)域的相關(guān)知識不同,雖然設(shè)計(jì)方法是完全類似的,但是在一個領(lǐng)域深扎,成為這個領(lǐng)域的專業(yè)的人,可以更好的理解算法到硬件的實(shí)現(xiàn)。

IP設(shè)計(jì)工程師經(jīng)常調(diào)侃自己是算法“翻譯官”,雖然也沒什么問題,但是自嘲歸自嘲,如果感興趣的話,還是應(yīng)該去想著如何更好的做好自己的設(shè)計(jì),做好芯片。即使是“翻譯官”也是一個十分有價值的“翻譯官”。

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 算法
    +關(guān)注

    關(guān)注

    23

    文章

    4552

    瀏覽量

    92019
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109713

原文標(biāo)題:如何將算法“翻譯”成Verilog?

文章出處:【微信號:IP與SoC設(shè)計(jì),微信公眾號:IP與SoC設(shè)計(jì)】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    如何將BQ35100配置為EOS模式

    電子發(fā)燒友網(wǎng)站提供《如何將BQ35100配置為EOS模式.pdf》資料免費(fèi)下載
    發(fā)表于 09-11 10:03 ?0次下載
    <b class='flag-5'>如何將</b>BQ35100配置為EOS模式

    如何將LMH34400評估模塊設(shè)置電流輸入模式?

    LMH34400 評估模塊手冊里注明默認(rèn)電壓輸入模式,如何設(shè)置電流輸入模式?jīng)]有說明,煩請說明下如何將LMH34400 評估模塊設(shè)置電流輸入模式,謝謝。
    發(fā)表于 08-01 07:35

    如何將GPIO修改為QSPI SLSO?

    我們使用的是 TC49x,我能否 GPIO 用作 SLSO(SLSO 不是專用的),如果可以,請告訴我們如何將 GPIO 修改為 QSPI SLSO。 我正在使用 QSPI1,需要使用 P31.6 作為 SLSO ,請?zhí)峁┲笇?dǎo)。
    發(fā)表于 07-22 06:25

    verilog function函數(shù)的用法

    Verilog 中被廣泛用于對電路進(jìn)行模塊化設(shè)計(jì),以簡化和組織代碼。 本文詳細(xì)介紹 Verilog 函數(shù)的用法,并探討函數(shù)在硬件設(shè)計(jì)中的重要性和實(shí)際應(yīng)用場景。 一. Verilog
    的頭像 發(fā)表于 02-22 15:49 ?3920次閱讀

    如何將互感器輸出的正弦波信號轉(zhuǎn)化為比例的直流電壓信號?

    我想用互感器和單片機(jī)測交流電路的電流,不知道如何將互感器輸出的正弦波信號轉(zhuǎn)化為比例的直流電壓信號,請各位高手指點(diǎn)一二,非常感謝!
    發(fā)表于 02-22 07:08

    TC275 GTM如何將TIM配置讀取引腳電平狀態(tài)模式?

    如何將TIM配置讀取引腳電平狀態(tài)模式
    發(fā)表于 02-19 06:32

    在hightec中如何將源代封裝,并編譯鏈接.a的庫函數(shù)?

    在hightec中如何將源代封裝,并編譯鏈接.a的庫函數(shù)
    發(fā)表于 02-18 08:10

    如何將LED連接到Arduino板并使其閃爍

     在本快速入門指南中,您將學(xué)習(xí)如何將 LED 連接到 Arduino 板并使其閃爍。
    的頭像 發(fā)表于 02-11 10:53 ?1583次閱讀
    <b class='flag-5'>如何將</b>LED連接到Arduino板并使其閃爍

    請問如何將M482的X32_IN X32_OUT設(shè)定GPIO_OUTPUT?

    請問如何將M482的X32_IN X32_OUT設(shè)定GPIO_OUTPUT?
    發(fā)表于 01-16 06:40

    如何將讀到的電能寄存器中的數(shù)字量轉(zhuǎn)換成測量值?

    問題: 我設(shè)置了有功電能的閥值寄存器,校準(zhǔn)了電壓和電流,得到的各種功率也是正確的,知道怎么換算測量值,但是這個電能怎么獲取測量值呢?現(xiàn)在讀有功電能寄存器的值是:1753。 請大神指導(dǎo)一下如何將讀到的電能寄存器中的數(shù)字量轉(zhuǎn)換成測量值。。。。多謝了, 主要是公式。
    發(fā)表于 12-26 07:26

    如何將sigmastudio的compressor壓縮限幅參數(shù)換算DSP的設(shè)置值?

    如何將sigma studio的compressor壓縮限幅參數(shù)換算DSP的設(shè)置值(特別是壓縮曲線各個點(diǎn)的參數(shù)如何得來)?另外,ADAU1452與ADAU1442的compressor算法控件
    發(fā)表于 11-29 06:43

    如何將開源Helix MP3解碼算法移植到AT32F4xx單片機(jī)方法

    本應(yīng)用筆記介紹了如何將開源Helix MP3解碼算法移植到AT32F4xx單片機(jī)方法。本文檔提供的源代碼演示了使用Helix MP3解碼算法的MP3播放器應(yīng)用程序。該MP3播放器應(yīng)用程序使用SDIO接口讀取SD Card中的MP
    發(fā)表于 10-24 07:20

    PCB中如何將元器件擺放為環(huán)形

    電子發(fā)燒友網(wǎng)站提供《PCB中如何將元器件擺放為環(huán)形.pdf》資料免費(fèi)下載
    發(fā)表于 10-20 15:10 ?3次下載
    PCB中<b class='flag-5'>如何將</b>元器件擺放為環(huán)形

    如何將ST-Link刷JLink使用呢?

    論功能性,性能,開放性,支持的芯片,JLink 都算得是 通用調(diào)試器界的扛把子,如果在使用ST-Link過程中出現(xiàn)下載或調(diào)試失敗等問題,可以考慮ST-Link刷JLink來使用也是一種不錯的選擇。
    的頭像 發(fā)表于 09-28 11:39 ?3670次閱讀
    <b class='flag-5'>如何將</b>ST-Link刷<b class='flag-5'>成</b>JLink使用呢?

    什么是ICM 如何將ICM用于加密

    電子發(fā)燒友網(wǎng)站提供《什么是ICM 如何將ICM用于加密.pdf》資料免費(fèi)下載
    發(fā)表于 09-25 11:03 ?0次下載
    什么是ICM <b class='flag-5'>如何將</b>ICM用于加密